Preguntas con etiqueta 'spartan'

1
respuesta

Beneficio de usar RAM, o alguna forma de memoria interna en un FPGA

En este momento estoy tratando de almacenar una imagen en un FPGA. Calculé que el espacio requerido para él era de 19200 kb, y por eso me pregunto si debería almacenarlo de otra forma que no sea una matriz 2D. ¿O el programa que creo automáticam...
1
respuesta

PAR demora demasiado - Xilinx ISE

Estoy tratando de compilar un proyecto y se tarda mucho tiempo en enrutar. - ISE 14.3 En mi módulo principal, estoy usando un paquete donde he declarado una matriz de constantes. Estas constantes usan funciones que he declarado en otro paquet...
1
respuesta

Error de mapa en ISE causado por PLL

Estoy trabajando en un diseño que utiliza un FPGA Spartan6, recientemente hice un cambio que agregó un PLL al diseño para permitir velocidades de reloj más rápidas, sin embargo, esto causó un problema durante el comando "mapa": The followi...
1
respuesta

definiendo frecuencia base, multiplicador y divisor para el administrador dinámico de reloj

Estoy usando un FPGA Spartan 6 xilinx, logré que todo funcionara, cambiando los parámetros del multiplicador y del divisor en tiempo de ejecución. DCM_CLKGEN que utilizo. Mi oscilador real es de 66,6 MHz, pero con PLL_BASE, puedo cambiarlo. C...
1
respuesta

DCART Spartan 3AN FPGA

Mientras uso el reloj interno para la entrada de clics DCM, obtengo clk0 como la frecuencia perfecta de salida, igual que el reloj interno, pero no en los pines O / P restantes. Cambié de la codificación anterior como esta y también el esquema c...
1
respuesta

Verilog: pasar un vector como puerto a un módulo

Tengo dos módulos contador: la salida es un vector llamado error_count. lcd: Módulo para mostrar el código en una pantalla LCD. La entrada incluye clock y error_count. El siguiente fragmento de código es el más relevante y se adjunta a...
1
respuesta

Imagen o cadena predefinida de salida de Atlys Spartan 6 de FPGA a través de HDMI

Necesito ayuda para mostrar un texto corto en una pantalla hdmi conectada a la placa (2 caracteres), ya experimenté con xapp495 pero aún no sé cómo hacerlo. Entiendo que puede ser necesario guardar los caracteres como imágenes en la memoria o...
1
respuesta

Problema desconocido con I2C en Spartan 3-E {VERILOG}

Tengo una placa Spartan 3-E. Estaba usando el Xilinx SRL 16 incorporado (registros de turnos concatenados de 16 bits) para la comunicación I2C. Verifiqué la implementación exitosa mostrando el número de "Acks" recibidos en los LED, es decir (tuv...
2
respuestas

Error de Verilog: el final de la tarea del sistema siempre se ejecuta

Estoy usando un Mimas V2 con un Spartan 6 CSG324 LX9. Tratando de enseñarme a usar Verilog y he estado usando esto tutorial. No he tenido problemas al ejecutar módulos VHDL y al ejecutar solo este código Verilog code (que aparece hacia la pa...
1
respuesta

Patrones repetidos en la pantalla VGA [duplicado]

Estoy intentando leer desde la ROM y mostrar los datos en el monitor VGA. He verificado que la ROM es funcional y funciona bien. Pero lo que obtengo en la pantalla es un patrón repetido de RGB. No son esos datos que están en la ROM. Aquí es...