Preguntas con etiqueta 'spartan'

0
respuestas

¿Qué es el conteo y la velocidad de Xilinx FPGA XC3S2000?

Estoy intentando comenzar a aprender la programación de FPGA y quiero comenzar con XC3S2000 (Spartan III ). En la tabla 1, veo un recuento de 2M en la puerta que es mucho más alto que los Spartans más avanzados y no pudo encontrar la velocidad...
2
respuestas

Cómo hacer que MicroBlaze se ejecute en Papilio Pro

Soy nuevo en el mundo FPGA, y parece que hay deslumbramientos de tableros y proveedores de FPGA. Acabo de comprar el Papilio Pro , que se basa en el Spartan 6 LX9, y aunque ya puedo bitstream En los diseños básicos de VHDL, buscaba instalar el...
1
respuesta

Cómo emitir la señal a través del conector SMA en el Spartan 3E Starter Kit

Quiero enviar una señal a través del conector SMA disponible en Kit de inicio Spartan 3E . ¿Alguien me puede guiar en cuanto a cómo hacerlo?     
3
respuestas

¿Cómo cruzar dominios de reloj eficientemente?

Tengo una pregunta sobre el envío de una señal de corta duración de un dominio de reloj más rápido a un dominio de reloj más lento. Estoy intentando implementar un búfer de marco dual en una memoria RAM de doble puerto (reloj dual). Una vez que...
2
respuestas

FPGA: programa que no funciona siempre

Estoy haciendo un ADC (en VHDL) para Spartan-3AN. Desafortunadamente, tengo que programar mi FPGA (programa FPGA solamente) un tiempo aleatorio antes del amplificador de ganancia programable ( Spartan 3AN User Guide página 73) funciona correcta...
2
respuestas

¿Cómo implementar el puerto serie RS-232 de la señal de 2.5V IO?

Estoy jugando con un desarrollo Xilinx Spartan-3A (XC3S50A-TQ144C) y luego intenté implementar el puerto serie RS-232 siguiendo la guía aquí: enlace Compré un puerto DB-9 y conecté RxD, TxD, GND del puerto DB-9 a tres pines de mi placa de d...
1
respuesta

¿Cómo sondear las señales y registros internos en FPGA sin usar JTAG?

Estoy utilizando un kit Xilinx Spartan 3E FPGA en mi proyecto académico para sintetizar un diseño que consta de un par de registros internos de 32 bits (por ejemplo, algunos contadores, desplazadores y algunos registros de configuración). No hay...
2
respuestas

Cómo contar las teclas presionadas en el tablero spartan FPGA

Estoy usando la placa FPGA Spartan 2 y quiero contar las teclas presionadas desde el teclado este es mi código VHDL: library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_st...
1
respuesta

Cómo dar entrada analógica desde un conector de 3.5 mm a FPGA (Spartan 3e)

Estoy trabajando en un proyecto de transmisión de voz utilizando Spartan 3e. Mi código funciona bien Mi problema es que proporciono una entrada analógica en el lado de CC mediante un potenciómetro y veo los cambios (como digitales) en los leds (...
1
respuesta

Coloque un DAC en la salida de FPGA

He diseñado un circuito de System Generator para implementar en FPGA. La señal de salida es un sinusoidal con frecuencia modificable. Necesito leer la señal de salida por osciloscopio. Debería poner un DAC en la salida ya que la salida de FPGA e...