Preguntas con etiqueta 'spartan'

2
respuestas

Transfiriendo un flujo de bits de 1MB a un FPGA y leyéndolo

Estoy usando Spartan 3E Starter Kit y necesito almacenar una secuencia de bits de alrededor de 1MB de largo. Es un flujo de bits constante y lo conoceré en el momento de programar la placa. Necesito poder leer un bit a la vez de este flujo. Cr...
1
respuesta

Trabajar con el reloj Spartan-6 LX9

Soy un principiante en diseño digital y estoy aprendiendo cosas usando "Advanced Digital Design with the Verilog HDL" junto con una placa Spartan-6 LX9 de Xilinx. Hasta ahora he logrado parpadear algunos leds en el tablero comenzando con un ejem...
1
respuesta

Uso del códec AC97 en un tablero Atlys Spartan 6

Soy un principiante en la programación de FPGA. Acabo de comenzar a programar una placa Atlys Spartan 6 y hasta ahora he escrito un programa para parpadear los LED en un patrón de contador. Ahora estoy tratando de enviar la señal de reloj a l...
1
respuesta

¿Cuál es la forma completa de XC6SLX9?

¿Qué se entiende por LX9? Sé que XC es una corporación xilinx y 6S significa espartano 6.     
1
respuesta

Salida HDMI (TMDS) con Digilent Atlys: los ejemplos no se compilan con ISE 14.7

Estoy trabajando en XAPP495 en el tablero de Digilent Atlys con ISE 14.7 Quiero ejecutar y probar "vct_demo" que viene con el XAP 495. Intenté compilarlo (con ISE 14.7) y fallí debido a los siguientes errores: ERROR: PhysDesignRules: 2502 - P...
1
respuesta

El reenvío de reloj no funciona

Estoy intentando reenviar una señal de reloj global a un pin de salida. Estoy utilizando una placa de evaluación Spartan SP601, LX16CSG324. Consulte el final de este segmento de código. Estoy usando un oscilador diferencial de 200 V LVDS 200 MHz...
1
respuesta

contador que MSB alterna cada 2 segundos [duplicar]

Quiero un contador que el bit más significativo alterna cada 2 segundos, y obtiene los valores 0 y 1. Así, por ejemplo, tendrá 0 por 2 segundos y luego 1 por otros 2 segundos, etc. Lo necesito así porque voy a conectar el bit más significativo...
1
respuesta

Nexys2: ¿Alguna suerte de que se ejecute en una máquina virtual?

Así que tengo una placa Nexys2 500K que funciona cuando estoy conectado 'directamente al sistema operativo', cuando ejecuto Win7. Sin embargo, como uso Mac OS X (10.8.2) principalmente, no quiero reiniciar mi PC solo para usar la placa por un mo...
1
respuesta

Lucid (Verilog) intermitente generado señal de reloj

Estoy empezando en el mundo de los FPGA. Recogí un Mojo con un Spartan-6 de Xilinx y estoy intentando crear un reloj arbitrario para usar con un periférico ENVIADO. En este punto, no lo he hecho más allá de intentar generar un reloj de tick (eve...
0
respuestas

No se puede ejecutar la tasa de baude de 460800 en Nexys2

Tengo una placa Nexys2 Spartan 3E y estoy ejecutando el núcleo UART IP de Ken Chapman. Necesito transmitir datos a otro dispositivo a una velocidad de 460800. Logré enviar datos a 115200 y 9600 usando el reloj de 50MHz de mi placa , pero cuando...