Preguntas con etiqueta 'spartan'

1
respuesta

Discrepancia entre el análisis de tiempo estático posterior al lugar y la ruta y los resultados de simulación ISIM

Descripción general Estoy implementando una CPU simple estilo Harvard usando Xilinx ISE versión 14.1. Estoy usando configuraciones compatibles con una placa Digilent Nexys3, pero por el momento todo el proyecto se realiza solo en simulación....
3
respuestas

Minimizar la lógica en un Spartan-6 para una célula de Game of Life

Mientras intentaba aprender la programación de FPGA, decidí implementar un juego masivo de la vida en paralelo. Aquí está mi primer intento: entity LifeCell is Port ( neighbours : in std_logic_vector(7 downto 0); state...
2
respuestas

Interfaz de alta velocidad de 3,2 Gb / s en 50 m: cobre, fibra, ¿otras ideas?

Necesito ejecutar una interfaz de 3.2 Gb / s en 50 m. Mi cliente está interesado en Cat6e. Cuanto menor sea el precio, mejor. Estos son mis hallazgos hasta ahora: Estoy pensando en usar un tranceiver Spartan 6 GTP con cobre (Cat6 / 6a). He pa...
1
respuesta

puerto de salida en el módulo VHDL RS232 de Digilent

Estoy viendo el componente de referencia de Digilent RS232 disponible en enlace para el Spartan 3E Kit de inicio. Comencé a armar un banco de pruebas, pero el código VHDL contiene un puerto 'inout'. He estado buscando en el código del compo...
1
respuesta

¿Por qué no funciona mi simple contador VHDL? ¿A dónde fueron mis señales?

Soy un principiante completo con VHDL y casi un principiante con lógica digital y tengo un problema para leer un libro que estoy leyendo. En particular, un ejercicio solicita construir un contador con una habilitación y un interruptor de reinici...
2
respuestas

¿Por qué mis programas FPGA no funcionan?

Soy muy nuevo en FPGA y lo siento por esta pregunta elemental. Acabo de crear un código XOR muy simple como este con Webpack ISE para descargar a XC2S100 (¡solo para prueba!) Pero no funciona. EDITION1: De acuerdo con los comentarios, verifiq...
3
respuestas

Arreglar estándares de IO en conflicto

Estoy usando la Basys 2 Spartan-3E FPGA board con Xilinx. Necesito que pmod i / o esté en 1.8v, así que estoy usando LVCMOS18 IOSTANDARD. Puede encontrar todos los IOSTANDARD disponibles para Spartan-3E en este documento . Cuando intent...
2
respuestas

SelectMap: Quién controla los pines: FPGA o microprocesador

Estoy leyendo esta nota de aplicación con respecto a la carga de imágenes de SelectMap para mi Spartan6. En la página 2 leí:    El dispositivo se ha encendido correctamente, pero la configuración interna   la memoria necesita ser reiniciada...
2
respuestas

Procesamiento de video e imagen en Spartan-6 FPGA

Soy muy nuevo en FPGA. Tengo un Spartan-6 de Digilent. Como proyecto de mi último año, quiero hacer el procesamiento de imágenes a través de él. ¿Cómo puede MATLAB & Simulink ser utilizado para esto? ¿Cómo se debe conectar la cámara a la pla...
1
respuesta

Pestillo VHDL para Xilinx Spartan 3E

Estoy codificando un control de pantalla para el Spartan 3E. Dispone de 8 LEDs. Cuando la señal de estado de la ALU (de otro bloque) es "00", las MSB y las LSB se multiplexan en el tiempo por un segundo cada byte. Cuando el estado no es "00", un...