Preguntas con etiqueta 'spartan'

2
respuestas

Cargando una imagen FPGA con SelectMap

Desde ahora, he estado programando mi Xilinx Spartan 6 utilizando JTAG. Ahora quiero cargar la imagen FPGA usando SelectMap con mi procesador STM32. (Consulte este documento (páginas 33 y siguientes) para obtener más información acerca de Sele...
1
respuesta

SelectMap acepta la imagen FPGA pero no ingresa a la secuencia de inicio

Estoy cargando la imagen de mi Spartan 6 y parece que no puede ir al último paso del proceso: la "Secuencia de inicio". Después de cargar el byte a byte de la imagen y agregar mucho de ciclos de reloj adicionales al final, el registro de estad...
1
respuesta

El diseño no funciona correctamente cuando el retardo de la red del reloj es ligeramente mayor en spartan3a fpga

Estoy ejecutando mi diseño en spartan3a 3s700afg484 a 50 mhz. No hay infracciones de tiempo de configuración y retención. Solo hay una red de reloj global. El informe de mi reloj para dos ejecuciones es RUN 1: Información: [707]...
3
respuestas

¿Cómo almaceno un reloj de alta frecuencia en un Spartan 6?

Estoy intentando crear un reloj de alta velocidad en mi Spartan 6 Junta de Atlys . El clonck a bordo es de 100MHz. Estoy tratando de usar un PLL en chip para obtener un reloj más rápido. Estoy usando la IP del asistente de reloj para generar un...
1
respuesta

VHDL contador de 4 pantallas en un Nexys 3 (0000 a 9999)

Estoy tratando de implementar un contador de 4 pantallas (0 a 9999) en un Nexys 3. Sin embargo, cuando cargo el archivo de bits, todas las pantallas permanecen en 0. Parece que las variables a, b, c, d; que controlan dígitos individuales, perman...
1
respuesta

SelectMap: ¿Debe HSWAPEN ser alto?

Estoy en el proceso de depurar la carga del microprocesador de una imagen de Spartan 6 a través de SelectMap. El pin HSWAPEN ha llamado mi atención. En mi diseño se baja a través de una resistencia de 10K. Sin embargo, cuando leo el registro de...
1
respuesta

¿La primitiva del flip flop del FDCE en Altera Quartus?

Me gustaría saber cuál es el equivalente primitivo de altera quartus al flip flop FDCE en Xilinx ISE. Creo que la primitiva DFFE podría funcionar, pero no estoy seguro de la equivalencia de los pines CE y CLR. También el pin PRN me confunde. He...
1
respuesta

Xilinx ISE Evita el recorte de la CPU

Estoy creando una CPU personalizada y me gustaría que fuera programable sobre la marcha en lugar de un código duro en VHDL. El problema que tengo es que sin el código inicial para que se ejecute la CPU, el ISE recortará grandes cantidades de mi...
0
respuestas

Configuración de Spartan 6 con Cypress FX2LP

Estoy tratando de cargar la configuración en mi placa FPGA usando Cypress FX2LP desde USB. La implementación básica proviene de la nota de aplicación AN63620 de Cypress, pero en su lugar, Spartan 3 uso Spartan 6 (xc6slx4), y el diseño del pin es...
4
respuestas

Generación de reloj usando FPGA

Estoy intentando utilizar kit Spartan 3E Generar reloj de 50 MHz. El kit viene con un cristal de 50 MHz que estoy tratando de usar. Entonces, escribí un código simple para enviar el reloj desde el FPGA al conector SMA. El código es el sigui...