Preguntas con etiqueta 'fpga'

1
respuesta

Axi DMA parámetros correctos

Estoy haciendo mi diseño con Vivado HLs y Vivado y estoy haciendo algunas transferencias algo grandes entre DDR y mi bloqueo de IP personalizado y viceversa. Cada transferencia de DDR a IP personalizada es de 256x256x4 = 262144 bytes y ocurre...
1
respuesta

fpga clock muxing

Estamos utilizando un fpga con recursos limitados, el IGLOO Nano, por lo que para implementar toda nuestra funcionalidad, necesitamos compartir un FIFO entre dos componentes diferentes de vhdl, que utilizan diferentes relojes. La funcionalidad...
3
respuestas

Usando ambos bordes de reloj en un diseño FPGA

Entonces, después de recibir algunos consejos de algunas buenas personas aquí, logré armar mi primer diseño de FPGA (muy modesto). Básicamente, son solo unos pocos registros y contadores, y solo se ejecutan a unos pocos MHz, pero podría sintetiz...
1
respuesta

¿Qué hace un par de corchetes angulares () en VHDL?

Estoy viendo un código VHDL y las siguientes líneas están presentes: type obj_code_t is array(integer range <>) of std_logic_vector(7 downto 0); y OBJ_CODE : obj_code_t; ¿Qué significa el <> ?     
2
respuestas

Contador de codificador FPGA que se ejecuta aleatoriamente

Estoy programando un Altera FPGA usando Quartus II v9.0 para
2
respuestas

VHDL: ¿cómo se ejecuta un proceso simultáneamente con otros procesos y componentes mientras se ejecuta de forma secuencial?

Tal como lo dice el título, ¿cómo ocurre esto? Estoy tratando de envolver mi cabeza en torno a los procesos frente a los componentes y no entiendo cómo funciona este mecanismo. Puede que esta no sea una pregunta adecuada, así que, por favor, ayú...
1
respuesta

VHDL: Señal vs Puerto

Sincronización: --------------------- Port ( ... rotary_a : in std_logic; rotary_b : in std_logic; ...); ... signal rotary_a_in : std_logic; signal rotary_b_in : std_logic; signal rotary_in :...
2
respuestas

FPGA de Xilinx: ¿cómo degradar advertencias específicas a información o hacerlas desaparecer?

Las herramientas Xilinx dan MUCHAS advertencias sobre cualquier diseño significativo. A veces, reviso mi diseño para eliminar las advertencias (por ejemplo, si un canal de un módulo ADC no se usa, voy a cambiar el módulo para eliminarlo, etc.)....
3
respuestas

¿Cómo puedo diseñar mi fuente de alimentación FPGA si no sé cómo se usará exactamente?

Estoy diseñando una pequeña placa de desarrollo para la experimentación de FPGA con el Cyclone IV EP4CE10 pero tengo algunos problemas para estimar los requisitos de energía. Entiendo que esto depende casi completamente del uso y la configuració...
4
respuestas

¿Cómo optimizar las funciones booleanas en muchas variables?

Dada una caja negra con N entradas binarias y especificación de la salida para cada uno de los 2 ** N posibles estados de entrada (pero no hay conocimiento previo de la lógica en la caja) Necesito Herramientas de software para diseñar la lógic...