Tengo un montón de paquetes de red y estoy tratando de especificar campos en ellos, algo así como
constant UPPER_BOUND : natural := 15;
constant LOWER_BOUND : natural := 7;
Sé que esta sintaxis es CORRECTA
El rango no cambia a lo largo...
Soy nuevo en este sitio y tuve una pregunta. Sé que las CPU típicas tienen un consumo de energía (TDP) en el rango de 100-200W, por ejemplo, Intel Core2 . Quería saber cuál es el consumo de energía típico de los FPGA. Vi este documento , donde...
Mientras intentaba aprender la programación de FPGA, decidí implementar un juego masivo de la vida en paralelo. Aquí está mi primer intento:
entity LifeCell is
Port ( neighbours : in std_logic_vector(7 downto 0);
state...
Tengo un FPGA (Xilinx Spartan 6) para el cual quiero realizar una prueba de tensión de la fuente de alimentación en "pasos" (por ejemplo, el FPGA se ejecuta en bucles de 1 segundo: vapor completo durante 900 ms, detenido durante 100 ms) para ver...
Context
Actualmente estoy leyendo el material del curso (muy bueno) sobre "Introducción al FPGA Spartan 3E y
VHDL "promovido aquí (anuncios de la comunidad) y realmente no tengo la idea de dividir un camino crítico para aumentar el rendimiento...
Fondo
Estoy usando un FPGA Xilinx de la familia Kintek-7. La documentación para los recursos de memoria se puede encontrar aquí .
Aquí hay algunos extractos importantes del documento (consulte las páginas 11 y 12):
El bloque RAM en...
Hace unos días, cuando obtuve mi FPGA, creé un módulo para manejar mi pantalla de 7 segmentos. Usé solo asignaciones continuas para conducir los leds.
module set_number(input [3:0] x, output [6:0] seg);
assign seg = x == 0 ? 7'b1000000 :...
La mayoría tengo antecedentes de desarrollo de software de escritorio. Tratando de aprender el diseño de hardware.
Pregunta:
La pregunta es principalmente para desarrolladores (en su mayoría contratistas individuales) que desarrollan equipos...
Tengo un max10 con un procesador nios integrado en mi memoria. La utilización de la parte es: 414,198 / 562,176 (74%) pero he agotado todos los bloques M9K en el FPGA. Aquí hay una tabla para la utillización
Como puede ver, muchos de lo...
En la documentación FPGA de Altera, hacen referencia a una funcionalidad de "extracción débil de E / S".
Me gustaría usar un pull up interno débil en lugar de pullups externos, evitando una modificación de PCB.
Parece que es posible activa...