Sincronización:
---------------------
Port ( ...
rotary_a : in std_logic;
rotary_b : in std_logic;
...);
...
signal rotary_a_in : std_logic;
signal rotary_b_in : std_logic;
signal rotary_in : std_logic_vector(1 downto 0);
...
rotary_a_in <= rotary_a;
rotary_b_in <= rotary_b;
...
rotary_in <= rotary_b_in & rotary_a_in;
---------------------
en el último paso, ¿cuál es la ventaja de usar señales temporales?
uno podría lograr la misma salida usando puertos directamente ( rotary_b & rotary_a
)