Preguntas con etiqueta 'xilinx'

2
respuestas

advertencia XST sobre nodos desconectados en FSM

Dado el siguiente código library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; entity sw_ctrl_top is generic ( switch_window_g : positive := 50; trig_heralding_dela...
1
respuesta

Altera equivalente de Xilinx Zynq UltraScale + MPSoC [cerrado]

Soy nuevo en el mundo FPGA. Me preguntaba si alguien podría decirme el equivalente de Altera del Xilinx Zynq UltraScale + MPSoC? Estoy buscando comprar una placa de desarrollo pero debe ser de Altera. Gracias Tom     
1
respuesta

Xilinx XPS no muestra los núcleos de IP del usuario, ni siquiera cuando el núcleo de IP se genera en XPS; ¿como hacerlo?

El Xilinx XPS (parte de EDK) debe tener una lista de núcleos de IP presentes en la estructura de directorios que busco, pero no hay ninguno, como se ve en la siguiente figura, donde el anillo rojo indica dónde debe estar la lista de núcleos de I...
1
respuesta

Patrones repetidos en la pantalla VGA [duplicado]

Estoy intentando leer desde la ROM y mostrar los datos en el monitor VGA. He verificado que la ROM es funcional y funciona bien. Pero lo que obtengo en la pantalla es un patrón repetido de RGB. No son esos datos que están en la ROM. Aquí es...
1
respuesta

Advertencia de diseño de implementación de reloj en Spartan 3E

Estoy trabajando con un SPARTAN 3E-FT256 en Xilinx 14.1, y tengo que generar un reloj de 25 MHz a partir del reloj de a bordo de 50MHz. Lo estoy logrando con un Digital Clock Manager. Estas son mis designaciones de UCF: NET "CLK_50MHZ" LOC...
0
respuestas

Escribiendo testbench para el diseño de VHDL

He hecho un banco de pruebas simple para ROM. Me gustaría escribir un banco de pruebas para una pantalla VGA. Soy un poco principiante, así que no sé cómo escribirlo. Sé que necesito generar un reloj, pero ¿cómo generaría los contadores, etc.? ¡...
0
respuestas

Implementación de FPGA RSA: problemas de multiplicación de Montgomery

Estoy implementando RSA 1024 en hardware (xilinx ZYNQ FPGA), y no puedo resolver algunos problemas curiosos. En particular, estoy descubriendo que mi implementación solo funciona para ciertas combinaciones de base / exponente / módulo, pero no h...
0
respuestas

diferencia entre la placa Arty-Z7-20 y la placa PYNQ

Estoy iniciando un proyecto Vivado en el que quiero usar Junta PYNQ . Como está escrito aquí , parece que puedo usar el archivo de la placa de Arty-Z7-20 pero no está del todo claro qué diferencia hay entre los dos tableros. ¿Alguna informació...
2
respuestas

Resistencias desplegables externas en Xilinx XC95144XL

Necesito su ayuda en la terminación de entrada de la serie Xilxx XC9500XL. Tengo un Xilinx CPLD XC95144XL que funciona como un controlador matricial de LED así como un registro de desplazamiento de salida en serie de entrada paralela. Un tota...
1
respuesta

Elija la sección "segura" de la memoria DDR en la placa ZC702

No puedo entender completamente qué sección de la memoria externa disponible es segura asignar para un VDMA en la placa ZC702. Necesito dedicar 4MB de memoria para los tres cuadros (640 * 480 * 4bytes * 3 cuadros de memoria alineada). Según UG5...