Preguntas con etiqueta 'fpga'

2
respuestas

¿Cuáles son los usos típicos de un procesador de software como MicroBlaze?

Sé que la combinación FPGA-DSP se usa normalmente para electrónica de potencia de alta gama / ultrasonido / MRI / etc. ¿Es posible que el procesador de software reemplace completamente el DSP incluso en FPGA de gama baja como Spartan 3/6? Aña...
2
respuestas

All Digital Phase Lock Loop

Estoy buscando implementar un bloqueo de fase en un FPGA sin usar ningún componente externo (que no sea el ADC). Para simplificar el bloqueo a un simple pulso binario es adecuado. La frecuencia de las señales es ~ 0.1-1% del reloj. No puedo usar...
2
respuestas

FPGA VGA Buffer. ¿Cómo leer y escribir?

Tengo un tablero Altera DE2 y trato de dibujar sprites. Estoy teniendo algunos problemas para implementar un búfer de pantalla. Tengo una entidad de visualización que a una velocidad de 25 MHZ genera píxeles para la visualización vga. Tení...
1
respuesta

¿Cómo funciona un PLL dentro de un FPGA?

He usado los FPGA de Altera del año pasado y me gustaría saber cómo funcionan los PLL dentro. Principalmente, ¿realmente tiene algún tipo de circuito analógico dentro para medir el desfase entre el VCO y la señal externa? Estoy impresionado por...
4
respuestas

¿Usar SVN con Xilinx Vivado?

Acabo de indicar el uso de Vivado en un nuevo proyecto y me gustaría colocar los archivos del proyecto en SVN. Parece que Vivado crea todos los archivos del proyecto bajo el nombre del proyecto (por ejemplo, proj1): /<path to the project...
1
respuesta

¿Es posible crear un filtro IIR en un FPGA que esté sincronizado en la frecuencia de muestreo?

Esta pregunta es sobre la implementación de un filtro IIR en un FPGA con segmentos DSP, con criterios muy específicos. Digamos que estás haciendo un filtro sin toques hacia adelante y solo 1 toque hacia atrás, con esta ecuación: $$ y [n] =...
1
respuesta

¿Cuándo sería AXI4Lite una mejor opción que el bus APB?

Estoy trabajando para mejorar y limpiar un gran diseño FPGA ya funcional que tiene un bus de datos de 64 bits. Una de las preguntas que surgieron es,    "¿deberíamos hacer la transición de todos nuestros autobuses a AXI4Lite / APB, o deberíam...
4
respuestas

¿Qué método sugiere para la creación de prototipos de circuitos asíncronos?

Me sorprendió y en cierto modo me sorprendió descubrir que no existe una herramienta adecuada para diseñar y crear prototipos de circuitos asíncronos. Sigo haciendo búsquedas utilizando google y otros medios para encontrar un buen método para...
4
respuestas

Detectar registros que no se reinician

Al escribir Verilog, utilizo una variedad de "linters" que darán errores y advertencias. Estos son mi simulador (ModelSim), mi compilador (Quartus II), junto con un linter (Verilator). Juntos, tengo una buena cobertura para las fallas comunes, c...
4
respuestas

¿Alguna buena referencia para las implementaciones de arquitectura digital de operaciones aritméticas de punto flotante? [cerrado]

Estoy haciendo un proyecto de tesis. Necesito hacer una implementación FPGA de una red neuronal. Quiero implementar algunas funciones matemáticas, como una función exponencial. ¿Alguien sabe de algún buen libro sobre FPGA, VHDL o implementaci...