Preguntas con etiqueta 'fpga'

0
respuestas

¿Proyectos de Newbie en un FPGA?

Faltan dos semanas para completar mi primer curso de diseño de lógica digital para la universidad, y al parecer no va a haber un proyecto final, solo un tedioso examen final. Así que, como haría cualquier estudiante curioso, examiné qué son r...
2
respuestas

¿Cómo saber si un FPGA es capaz de ejecutar un núcleo de ip?

Estoy buscando una tabla barata para comenzar y me pregunto si podré colocar un núcleo Picoblaze, LEON, S1 u OpenRISC allí. ¿Hay alguna forma de decirlo?     
6
respuestas

¿Ejemplo de código para los filtros FIR / IIR en VHDL?

Estoy tratando de comenzar con DSP en mi tablero Spartan-3. Hice una placa AC97 con un chip de una placa base antigua, y hasta ahora lo hice para hacer ADC, multiplicar las muestras por un número < 1 (disminuir el volumen) y luego DAC. Aho...
4
respuestas

Tablero FPGA sugerido

Este es mi primer vistazo a FPGA, pero gran experiencia en el desarrollo de software. Me gustaría comprar un tablero para aprender, pero eventualmente me gustaría poder ejecutar una computadora con estilo Z80 de principios de los 80 (creo que el...
2
respuestas

¿Cuándo necesito usar un IC de búfer de reloj?

Estoy diseñando un circuito y una PCB para manejar 7 DAC desde un FPGA. (DAC es AD9762 ) ¿Sería posible controlar las entradas de reloj en los 7 DAC con una salida de reloj única (desde un pin de salida PLL) del FPGA? ¿O es una receta para...
5
respuestas

Linux incorporado en FPGA

Tengo una experiencia muy limitada con los FPGA (Altera: solo uso las herramientas de diseño visual). Estoy planeando un nuevo proyecto en el que necesito FPGA y podría beneficiarme mucho de un Linux real que se ejecuta en la misma placa (pri...
2
respuestas

compartiendo un oscilador entre dos circuitos integrados

Tengo un microcontrolador y un FPGA en la misma placa. Si ambos van a funcionar a la misma velocidad de reloj, ¿puedo usar un oscilador para marcarlos a ambos? Parece que hay algo que debería vigilar aquí, pero no puedo pensar inmediatamente en...
4
respuestas

FPGA: ¿contar hacia arriba o hacia atrás?

Estoy aprendiendo a usar un FPGA (placa de desarrollo de Papilio, que tiene un xilinx spartan3e, usando vhdl). Necesito dividir un pulso entrante por un número (codificado). Puedo ver 3 opciones, aproximadamente, como pseudocódigo (usando...
3
respuestas

tiempo de procesamiento en FPGA

Soy nuevo en fpgas y hay algunas sutilezas de tiempo que no estoy seguro de entender: si todos mis procesos síncronos se activan en el mismo borde, eso significa que mis entradas se "capturan" en un borde ascendente , y mis salidas cambian en .....
0
respuestas

¿Para qué se usan los CI de lógica programable de diferente complejidad?

La lógica programable se puede implementar en su widget en muchos espectros diferentes, desde la grabación de unas cuantas puertas o el uso de un MUX hasta el último FPGA con microcontrolador integrado y periféricos IO, por no mencionar el Prime...