Preguntas con etiqueta 'fpga'

6
respuestas

¿Son los FPGA tan poderosos como la cantidad de puertas que tienen?

Digamos que tengo un FPGA de 1 millón de puertas. He encontrado algunos procesadores en OpenCores que solo requieren 50k puertas. Entonces, ¿sería posible hacer, digamos, un procesador de 8 núcleos con un FPGA de este tipo? ¿O hay límites a los...
5
respuestas

Simulando el diseño de FPGA sin tener el hardware real

Soy nuevo en FPGA y actualmente tomo la clase HDL (particularmente Verilog). Tengo suficiente conocimiento en diseño digital como circuitos combinacionales y secuenciales. Quiero crear un proyecto similar a el que se muestra en este video de...
2
respuestas

¿Por qué los multiplicadores 18x18 bits en FPGA?

Estoy viendo diferentes FPGA para mi proyecto de tesis y sigo viendo que los bloques multiplicadores son de 18x18 bits, ¿por qué sucede esto? ¿Por qué no son de 16 bits?     
4
respuestas

¿Por qué mi función de rectángulo en un FPGA se ve así?

Programé mi FPGA para crear una función de rectángulo simple de 1 MHz. Pero cuando muestro la función resultante en mi osciloscopio, muestra cierta oscilación después de los bordes. Al principio pensé que estos podrían ser los componentes de...
5
respuestas

¿Cómo medir la diferencia de fase de dos señales de la misma frecuencia en un FPGA?

¿Cómo medir la diferencia de fase de dos señales de la misma frecuencia en un FPGA? Por ejemplo, digamos que tengo dos señales de 150 MHz (internas al FPGA) que son sincrónicas entre sí, pero están compensadas por alguna diferencia de fase co...
4
respuestas

¿Por qué los FPGA tienen cierres cuando casi nunca se usan?

Esta pregunta es una pregunta de seguimiento de la pregunta existente: " Cuando se usan pestillos mejor que las chanclas en un fpga que admita a ambos ". Si el uso de pestillos en los FPGA se limita a situaciones más raras o no, ¿por qué los...
4
respuestas

Implementaciones de Adder diferentes

Estoy armando una ALU, que quiero sintetizar en un FPGA. El sumador de acarreo anticipado es el que muchos optan por usar en lugar del sumador de ondulación de acarreo. Sin embargo, un pensamiento cruzó mi mente. Los sumadores de ondulación que...
3
respuestas

¿Cuándo se prefiere un FPGA en lugar de un CPLD, y viceversa?

Estoy empezando con la lógica programable, y estoy utilizando principalmente una entrada de esquema. (Hey, me gusta ver el esquema en lugar de VHDL / VERILOG: P) He estado usando una CPLD de Xilinx originalmente que tenía 128 macrocélulas, y...
3
respuestas

Fuentes de hardware de entropía en un FPGA

Estoy construyendo un controlador de alimentación FPGA y uno de los problemas es que quiero hacer simulaciones de Monte-Carlo que requieren una fuente de entropía de alta calidad, es decir, una implementación LSR pseudoaleatoria no es suficiente...
2
respuestas

Transmisión de HDMI / DVI a través de un FPGA sin soporte para TMDS

Espero poder emitir HDMI / DVI-D para mi próximo proyecto FGPA pero mi FPGA no tiene soporte nativo para salidas TMDS. El FPGA es un Spartan 3E y creo que solo tiene soporte para la señalización diferencial LVDS. Leí mucho en línea acerca de...