Preguntas con etiqueta 'fpga'

2
respuestas

¿Debo restablecer el diseño de mi FPGA después del inicio?

Por lo general, inicializo los registros de estado de mis FSM especificando un valor inicial en mi código VHDL, por lo que no necesito un pulso de restablecimiento después del inicio del FPGA configurado. El siguiente ejemplo demuestra esto con...
2
respuestas

El transmisor Verartog UART envía bytes fuera de orden

Tengo el siguiente código Verilog que envía 8 bytes al puerto serie sucesivamente después de presionar un botón. El problema es que los bytes se envían fuera de orden en cuanto a lo que yo esperaría. Por ejemplo, si envío los bytes 0xDE, 0...
2
respuestas

Mejorar el tiempo parcial de compilación o recompilación de Quartus

Ejecuto Altera Quartus, y estoy usando el analizador lógico SignalTap en un Max 10 FPGA. La compilación demora diez minutos, y cada vez que me gustaría agregar una señal a SignalTap, tengo que volver a compilar. El botón de recompilación rápida...
3
respuestas

¿Por qué no SRAM para FPGA en el procesamiento de imágenes?

Estoy comenzando con la codificación VHDL y he hecho un procesamiento básico de imágenes en mi placa de desarrollo. Me he dado cuenta de que la mayoría de las placas de desarrollo FPGA a menudo usan DRAM (SDRAM, DDRAM) como RAM. Por ejemplo,...
5
respuestas

Contador para reloj de 20 GHz

Estoy diseñando una aplicación de tiempo crítico donde necesito resolución de tiempo en el orden de 100 picosegundos. Estoy considerando hacer un oscilador de anillo de 20 GHz y un reloj de oscilador de anillo. ¿Hay IC para ello o puedo im...
4
respuestas

Cómo duplicar la frecuencia de mi reloj con diseño digital

Estoy tratando de duplicar la frecuencia de mi reloj usando solo puertas, chanclas o lo que sea, pero desafortunadamente recibo una señal de que el ciclo de trabajo está lejos del 50%. Desafortunadamente, tengo que desarrollar mi sistema usando...
3
respuestas

¿Qué es el ACK I2C y cómo lo detecto?

Estoy escribiendo un controlador FPGA en Verilog para un sensor de temperatura (hoja de datos disponible aquí ) . El protocolo de comunicación es SMBus, un primo cercano de I2C. Ahora que leo la hoja de datos, entiendo que la señal ACK se compo...
3
respuestas

ASIC vs? - Rendimiento y coste

Estoy interesado en crear una solución personalizada basada en Linux que destruya los algoritmos criptográficos sha256 a niveles de velocidad increíbles. También tengo un presupuesto limitado ... No tengo experiencia en EE, pero sí con software....
2
respuestas

¿Por qué la señal de reloj de 30 MHz está distorsionada en el osciloscopio?

Estoy tratando de sondear una señal de reloj de 30MHz, proveniente de un FPGA y enrutada a un sensor CCD. No hay otros componentes, aparte de los conectores, entre el origen y el destino. Cuando pruebo esta señal con mi osciloscopio, obten...
2
respuestas

Implementar puerto serie en fpga (verilog)

No sé si esto pertenece aquí o stackoverflow. Supongo que aquí, aunque verilog parece software, ¿en realidad describe conexiones de hardware? Tengo una placa de evaluación Spartan-3AN y estoy tratando de implementar una interfaz de puerto rs2...