Preguntas con etiqueta 'fpga'

3
respuestas

¿Qué es una restricción de tiempo de ruta falsa?

En el mundo FPGA, ¿qué son exactamente las restricciones de ruta falsa para un compilador HDL? ¿Por qué son útiles?     
5
respuestas

¿Qué es la serie XMOS?

Bien, recientemente he visto aparecer el nombre XMOS en algunos lugares. He buscado en su sitio web y he buscado en línea, pero no puedo entender qué es. ¿Así que qué es lo? ¿Parece ser un cruce entre un microcontrolador y un FPGA? También he...
3
respuestas

¿Qué sucede cuando se enciende un FPGA y se deja sin configurar?

Estoy tratando de obtener una comprensión general de lo que sucede si deja un FPGA sin programar durante un largo período de tiempo. Supongamos que tiene un FPGA y lo deja sin programar durante un largo período de tiempo (varios minutos a hor...
4
respuestas

Usando ambos bordes de un reloj

Estoy programando un Altera Cyclone IV usando Verilog y Quartus II. En mi diseño, me gustaría usar los dos bordes de un reloj para poder dividir el reloj por un factor impar con un ciclo de trabajo del 50%. Aquí hay un fragmento de mi código:...
4
respuestas

restricción de tiempo para circuitos sincronizadores de bus

Tengo un circuito sincronizador de bus para pasar un registro amplio a través de dominios de reloj. Proporcionaré una descripción simplificada, omitiendo la lógica de reinicio asíncrono. Los datos se generan en un reloj. Las actualizacione...
3
respuestas

¿Cómo identificar las áreas de un diseño de FPGA que utilizan la mayoría de los recursos y el área?

Estoy trabajando en un gran diseño de FPGA, y estoy muy cerca de los límites de recursos del FPGA que estoy utilizando actualmente, el Xilinx LX16 en el paquete CSG225. El diseño también está casi completo, sin embargo, en este momento ya no...
4
respuestas

Diseño de firmware FPGA: ¿Qué tan grande es demasiado grande?

Tengo una transformación de procesamiento de señal particularmente grande que necesita ser portada desde matlab a VHDL. Definitivamente requiere algún tipo de intercambio de recursos. Un poco de cálculo me dio lo siguiente: 512 pies de 64 pu...
2
respuestas

¿Qué es un "medio enganche" en un FPGA?

En un artículo sobre FPGA con radiación intensa encontré esta frase:    "Otra preocupación con respecto a los dispositivos Virtex es la mitad de los pestillos. La mitad   Los cierres se utilizan a veces dentro de estos dispositivos para inter...
1
respuesta

canalización MD5 VHDL

Estoy tratando de implementar una tubería MD5 de 3 etapas según este enlace . En particular, los algoritmos en la página 31. También hay otro documento que describe el reenvío de datos. Esto se hace en un FPGA (Terasic DE2-115). No hay esquem...
1
respuesta

¿Cómo crear un clon de Ambilight basado en FPGA?

Algunos antecedentes rápidos: Ambilight es un sistema en algunos televisores Philips que analiza la información de color en la pantalla y luego establece algunos LED en la parte posterior de la pantalla para proyectar el color de la pantalla...