Preguntas con etiqueta 'conversion'

2
respuestas

VHDL - conversión de tipos y sustracción de enteros

Estoy preparando un programa en VHDL y me quedé atascado en la conversión de tipos. Intenté buscar en Google y también aquí en el intercambio de pila, pero estoy bastante confundido ya que una respuesta contradice a la otra y ninguna de las cual...
1
respuesta

Conversión de código Bin-to-BCD de VHDL a Verilog

Hola chicos, estoy tratando de traducir el código VHDL a Verilog, sin embargo, no funciona aunque se vean bastante iguales. No obtengo errores, sin embargo, no funciona con Verilog one, pero funciona con VHDL one. ¿Pueden ayudarme, por favor, a...
1
respuesta

5V (analógico) a 3.3V (analógico) para la medición de corriente

Quiero usar un sensor de corriente ACS723 y me gustaría transformar esa señal en digital usando el ADC integrado dentro de un ESP8266 (Wemos D1 mini). No estoy seguro de cómo puedo hacer eso. Creo que tal vez la forma más fácil es un divis...
1
respuesta

conversión de lógica estándar a float en vhdl

Soy nuevo en este campo. Tengo un problema con la conversión de entrada de lógica estándar en valores reales. He estado usando la función to_float pero siempre mostró un error. Cuando usé el paquete float_generic_pkg , mostró un err...
6
respuestas

Conversión de 0-5 voltios (lineal) a +2.5 - 0 - +2.5 voltios ("vee")

Estoy tratando de encontrar una forma sencilla de convertir una salida de 0-5 V de un sensor de efecto Hall de joystick a +2.5 - 0 - +2.5 V. Así es como quiero que se vea la respuesta: El voltaje de salida se enviará a un convertidor de...
1
respuesta

¿Cómo puedo saber qué amperaje funcionará un dispositivo eléctrico de 12V a 5V?

Estoy comprando un TEC 12706, un Peltier termoeléctrico. Su clasificación es: 15.4V 6A (voltaje máximo y amperaje). Quiero ejecutar este Peltier a 5V y me preguntaba cómo convertiría estos datos para ver qué amperaje dibujaría el enfriador a...
2
respuestas

¿Puede un transformador planar reemplazar un transformador laminado para una conversión de CA de 50Hz?

He visto transformadores magnéticos planos incluso con aislamiento de hasta 20 kV. Sin embargo, esos dispositivos parecen estar diseñados para la conversión de CC / CC en el rango de 100 kHz. Me pregunto si los transformadores laminados de ba...
2
respuestas

Lectura del sensor de temperatura interno STM32

Estoy tratando de leer el sensor de temperatura interno. Cada vez, el valor de conversión de ADC es 296, lo que resulta en una temperatura negativa. ¿Debo agregar algo al código siguiente, habilitar algunos periféricos o mis cálculos son incorre...
1
respuesta

Analizar el convertidor de impulso usando las tres reglas

Estoy tratando de analizar el convertidor boost que funciona en el modo DCM a continuación. Al usar tres reglas como aquí : Inductor de voltios y segundos de equilibrio equilibrio de carga del condensador aproximación de ondulación p...
2
respuestas

¿Cómo convertimos el precio por kVA al precio por kW?

Me han dicho que dado que kVA es una "unidad" más pequeña, el precio por kW es mayor que el precio por kVA. No estoy completamente seguro de que kVA y kW no sean simplemente unidades, ya que el factor de potencia no es realmente un factor de con...