Tengo un problema similar al de Javier Acha ya descrito aquí
Convertir de un rango specifc a otro que es mapear un rango de voltaje (AC, 100Hz) de [-12V, + 12V] a [0V, 4V]. Actualmente estoy tratando de resolverlo utilizando el enfoque OpAmp....
Estoy creando una aplicación relacionada con el código de tiempo y necesito generar un pulso de reloj de onda cuadrada de 36000 que puede dividirse en enteros hasta 24,25 y 30. Mi reloj de origen es 32768Hz, que no se divide a valores enteros. P...
Quería saber si hay un consumo de corriente mínimo en los convertidores de CA a CC de la fuente de CA cuando está conectado a un voltaje de entrada de 120 V (60 Hz), cuando no hay ninguna carga conectada a la salida del convertidor. ¿Es esta cor...
En VHDL, ¿hay alguna forma de convertir un integer en bit_vector de la longitud de 14?
Actualmente, primero estoy convirtiendo integer en std_logic_vector y luego en bit_vector .
for i in 0 to 5 loop
x_...
Actualmente estoy intentando construir un circuito de amplificador operacional que permita separar las señales de envío y recepción de la línea telefónica del Reino Unido (o una simulación de la línea telefónica).
Tengo dificultades para comp...
Estoy trabajando en un proyecto para la escuela, y la premisa básica es tomar una entrada en serie y convertir esa información para pasar por Ethernet. Tiene que ser capaz de cambiar entre rs232 / rs422 / rs485 en algún momento, pero me gustaría...
architecture behavioral of test is
signal lfsr_state : std_logic_vector (31 downto 0);
begin
LFSR_32_1 : entity work.setState port map(clk, lfsr_state);
process (clk)
begin
if (rising_edge(clk)) then
if((unsigned(lfsr_state)) <...
Estoy usando un Texas Instruments DSK6713 y Matlab / Simulink para un proyecto. Utilicé Simulink para generar códigos ADC y DAC para que mi placa pasara valores de un generador de funciones desde la entrada hasta la salida. Estoy tratando de cal...
Por el bien de la simplicidad;
Tengo paneles solares que generan Xvolt, Yamperage.
Los dispositivos Xvolt utilizarán toda la energía.
Pero todos los adaptadores de dispositivos y dispositivos están diseñados para usar una red de CA. Así...
He pasado horas montando cuidadosamente un par de almohadillas para formar la huella de un codificador rotativo Bourns PEC11S . Ahora estoy atrapado en el procedimiento lo sé, es decir, « Cortar en búfer » , « Dividir elementos de búfer en pi...