Preguntas con etiqueta 'conversion'

1
respuesta

¿Cómo puedo convertir una señal VGA para que funcione con un monitor CRT antiguo?

Me pregunto si es posible convertir una señal VGA para usarla como entrada a un monitor CRT antiguo (que creo que es monocromo), y si es así, ¿cómo podría hacerlo? El monitor tiene una entrada DIN de 6 pines (ver fotos a continuación) y estoy ba...
1
respuesta

Conversión de lógica y signo

Tengo un proyecto interesante y podría usar un poco de ayuda. Estoy usando un microcontrolador que entra al ADC y la salida en los pines GPIO y DAC están todos dentro de un rango de 3.3V, y tengo que interactuar con un controlador lógico de 15V....
2
respuestas

estructura de entrada del amplificador de realimentación actual

Esta es una etapa de entrada de algunos CFA obsoletos (amplificador de retroalimentación actual): El amplificador de realimentación de corriente es un amplificador de transimpedancia, que convierte la señal de corriente en su entrada en...
1
respuesta

¿Fórmula / ecuación de conversión OPT3001?

Estoy luchando para encontrar una fórmula de conversión real para convertir el valor RAW en un resultado que tenga sentido. Estoy usando un sensor OPT3001 de TI y ATTiny25 como MUC. He encontrado un ejemplo en línea, pero no da el valor...
1
respuesta

Convertir los datos de resistencia del transformador de vatios a ohmios

Versión corta: ¿Cómo puedo convertir los valores de resistencia del transformador de vatios a ohmios? Versión larga: en PSS / E, la resistencia del transformador puede ingresarse como valores unitarios (base del sistema o base del transformad...
1
respuesta

¿Cómo convierto una señal de 3v a 2v a una señal de 0 a 5 v

Estoy midiendo voltajes de entrada entre 2v y 3v (3v voltios corresponde a una lectura de 0 y 2v corresponde a una lectura de 10,000) en la entrada analógica de mi arduino. Me gustaría medir el voltaje en la resolución más alta posible de los co...
2
respuestas

std_logic_vector a conversión no firmada al lanzar un error incompatible

A continuación se muestra un código VHDL de un generador de paridad par / impar para un bus de ancho dado. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; entity paritygen is generic( WIDTH :...
0
respuestas

¿Qué circuito MOSFET reemplaza un diodo en un escooter de 48V 40A?

Quiero usar un generador de 1kW y convertir mi eScooter a un híbrido. El scooter utiliza un banco de baterías SLA de 48V de 4x22Ah baterías SLA en serie. Utilicé un transformador de un horno de microondas de 1200W y volví a cablear el secu...
1
respuesta

Diseño de altavoz ultrasónico de CA

Como ingeniero de software me disculpo por adelantado, definitivamente soy un aficionado en el campo de la electrónica. Problema Busco medir la distancia desde un emisor de ultrasonidos a un dispositivo móvil. Para probar esta idea, he cre...
3
respuestas

¿Puedo convertir a menos voltios de CA para obtener más amperios?

Me preguntaba si tengo (por ejemplo) una entrada de 12V y 100A DC, en lugar de ir a, digamos 120V y 10A AC, si podría convertirse en 100V para más amperios. (Ya sé de pérdida, así que supongamos que se trata de un sistema mágico sin pérdidas)....