Preguntas con etiqueta 'clock-speed'

0
respuestas

¿Cómo podemos comparar los tiempos de procesamiento de dos diseños implementados en diferentes FPGA? [cerrado]

¿Cómo podemos comparar dos diseños diferentes que realizan la misma tarea (por ejemplo, el procesamiento de una imagen de 256x256) y ambos implementados en diferentes FPGA, en términos de tiempo de procesamiento (segundos)? Por ejemplo, uno d...
2
respuestas

STM32F0x1 ejecuta SYSCLK a 1MHz con un cristal externo de 8 MHz

Estoy usando hardware con un cristal externo de 8 MHz y me gustaría reducir la frecuencia de SYSCLK a 1MHz. Todavía no he podido hacer esto. ¿Es posible lograr esto con ciertas configuraciones PLL y DIV?     
3
respuestas

¿Se puede aumentar la velocidad de una CPU actualizando (físicamente) el reloj de la CPU?

No sé mucho sobre el funcionamiento de la CPU, pero estoy aprendiendo. Leí en alguna parte que hacer que una CPU funcione más rápido es tan simple como aumentar la velocidad del reloj (un método entre muchos), y que el factor limitante real es l...
4
respuestas

La mejor manera de confirmar el reloj del sistema del controlador

Me gustaría conocer los trucos o sugerencias que podrían usarse para encontrar el reloj del sistema de microcontroladores de 8 bits. ¿Cuáles son las maneras geniales en que podríamos encontrar el reloj del sistema y se puede verificar con los do...
3
respuestas

¿Cuál es el método de comunicación más rápido de arduino a PC?

Estoy creando una aplicación que tiene varios sensores i2c controlados por un Arduino Uno. Quiero obtener todos los datos sin procesar de los sensores y transferirlos a una PC lo más rápido posible, en los cuales realizaré cálculos con los datos...
2
respuestas

¿Puedo usar una velocidad de reloj más lenta para una CPU o un microcontrolador que la que se supone que debe usar?

Supongamos que tengo una CPU o un microcontrolador con una frecuencia de reloj de 1 GHz, ¿puedo usar un 1 kHz en su lugar?     
3
respuestas

El temporizador más barato para la radio de trilateración

Estoy construyendo un dispositivo que debe encontrar su propia posición en un campo (400x400m). Dado que habrá muchos dispositivos en el campo, no podría enviarles su posición individualmente y quiero que sean pasivos (con respecto a la emisión...
2
respuestas

Overclocking un sensor de imagen para FPS más altos

¿Qué sucede si overclockea un sensor de imagen? Por ejemplo, el OV7670 ( hoja de datos ) que tiene una entrada máxima nominal de reloj del sistema (XCLK) de 24 MHz y píxeles máximos Reloj (PCLK) de nuevo 24 MHz. El máximo estándar de cuadros por...
2
respuestas

Implementando un reloj derivado en un FPGA

Preparando un ejercicio de laboratorio, donde tenemos la tarea de generar un reloj de 1 Hz fuera del reloj del sistema de 50 Mhz de un FPGA. Esto se debe lograr sin usar ninguna biblioteca además de ieee.std_logic_1164 y ieee.numeric_std. La...
1
respuesta

IC de generador de reloj programable y circuito de referencia

Necesito una fuente de reloj para algunos convertidores de datos (DAC, ADC), y me gustaría que la frecuencia del reloj sea lo más configurable posible. Supongo que esto implicaría algún tipo de multiplicador / divisor de reloj, PLL, etc. El rang...