Preguntas con etiqueta 'clock-speed'

4
respuestas

Generar un reloj de 40MHz en un FPGA con un reloj de 100Mhz

Estoy tratando de generar un reloj de 40MHz en una clase de lucha de 100Mhz FPGA con Verilog CODE, redirigí el reloj a un pin para verificar los 100Mhz: assign pin1= clock; //gives me an 100MHz clock assign pin2= ~clock; //gives me an 100MHz...
3
respuestas

frecuencia de reloj de 100 Mhz para una MCU de 16 Mhz

Me gustaría preguntar qué pasará si configuro, por ejemplo, 100 Mhz como una frecuencia de reloj para una MCU, que puede funcionar a una velocidad máxima de 16MHz. ¿Por qué no funciona?     
3
respuestas

Velocidad máxima del reloj SPI especificada en la hoja de datos

Para los circuitos integrados que utilizan la interfaz SPI, especifican la frecuencia máxima de reloj en sus hojas de datos, como se adjunta a continuación. La pregunta es que si la hoja de datos especifica la frecuencia máxima en 6MHz, ¿p...
2
respuestas

Frecuencia máxima de FPGA: factor limitante

Me gustaría saber cuál puede limitar en general la frecuencia de reloj máxima de un circuito implementado en FPGA. En el caso específico, estoy creando algunos filtros FIR utilizando Quartus y simulándolos en un FPGA de la familia Cyclone II....
3
respuestas

Lectura de datos del sensor de presión digital (Sensor de presión SPD100GD)

Necesitamos leer la salida digital de un sensor de presión SPD100GD con un AVR micro (Atmega128) . De acuerdo a la hoja de datos debemos estimar la velocidad de transmisión. La hoja de datos está diciendo:    La velocidad de transmisión dep...
2
respuestas

XMega Get Clock Speed

Estoy haciendo un poco de depuración en mi proyecto y necesito comprobar si estoy configurando mi cristal externo correctamente. Tengo la capacidad de imprimir en un monitor en serie a través de USB, ¿Es posible recuperar la velocidad del reloj...
1
respuesta

calcular el ciclo del reloj de la ondulación Llevar y ver hacia delante

¿Cómo encontraría la cantidad de ciclos de reloj necesarios para producir la suma, la ejecución y el indicador de desbordamiento usando el arrastre de ondulación o el sumador de búsqueda anticipada? ¿Puede alguien explicarme cómo iríamos enco...
1
respuesta

¿Es mejor tener un sesgo de reloj negativo?

¿Es mejor tener un sesgo de reloj negativo? ¿Por qué? Si lo comparamos con un sesgo de reloj positivo, ¿cuál es mejor?     
2
respuestas

tasa de muestreo de ADC a relación de velocidad de reloj de MCU

¿Cómo puedo determinar los requisitos de velocidad de reloj de una mCU para administrar una entrada ADC dada? Esta aplicación es muy simple: solo quiero tomar un flujo SPI de un ADC en un mCU y escupirlo. No está ocurriendo ningún DSP importa...
1
respuesta

frecuencia de reloj LCD SPI con MCU STM32L0

Estoy diseñando un PCB que incluye una pantalla LCD NHD-C12832A1Z-FSW-FBW-3V3 ( hoja de datos ) conectada al microcontrolador STM32L071KZU6 ( hoja de datos ). Me temo que la interfaz SPI de la pantalla LCD no funcionará con el reloj SPI de la...