Preguntas con etiqueta 'clock-speed'

2
respuestas

Requisitos del microcontrolador para la pantalla TFT

Quiero saber si puedo conducir esta pantalla TFT de 320x240: enlace Que tiene este controlador integrado con 168KB de RAM dedicada: enlace Sin tener que usar un micro caro con un chip LTDC dedicado. pero este es mi primer proyecto c...
4
respuestas

¿Cómo verificar la velocidad de reloj del sistema PIC MCU?

Todo el proyecto depende de esto, así que solo quiero asegurarme de que lo tengo bien. Estoy usando un resonador externo de 20MHz y lo estoy poniendo a través del PLL con la esperanza de obtener un F_SYS = 120MHz. Sin tener que entrar en las mat...
2
respuestas

¿Cómo verificar la frecuencia del reloj MSP430?

Estoy trabajando en un proyecto en el que se usa un MSP430 para proporcionar el tiempo para algunas cosas donde el tiempo preciso es importante (puede estar apagado en un 10%, pero no en 2x). Esto es impulsado por el temporizador A0 que se ejecu...
5
respuestas

¿Por qué no es conveniente modificar las entradas de reloj (agregando puertas adicionales)?

Estoy tomando un curso de electrónica digital en la universidad. Soy estudiante de segundo año de ingeniería mecánica, pero sentí que era importante entender algunos componentes electrónicos. El profesor en una de las diapositivas, cuando hablab...
2
respuestas

CPU y frecuencia de reloj

Según tengo entendido, las CPU generan pulsos eléctricos utilizando un cristal de cuarzo. La velocidad a la que se generan los pulsos (teniendo en cuenta varios multiplicadores) da la velocidad de procesamiento que todos los componentes ejecutan...
1
respuesta

¿Por qué ATMega328 no puede ejecutar SPI a velocidad de reloj completa?

Pregunta de dos partes: En hoja de datos en la página 168, la mejor frecuencia de SCK que se obtiene con SPI es \ $ \ dfrac {f_ {osc}} {2} \ $, ¿por qué no puede ser \ $ f_ {osc} \ $? También de la hoja de datos: "Cuando el SPI está c...
2
respuestas

Problema al conectar el divisor de reloj generado por CORE Generator al diseño I2S para Spartan 6

Estoy tratando de conectar el divisor de reloj generado por el Generador CORE al receptor I2S y al transmisor I2S en Spartan 6. La PLL_BASE se conecta a través del módulo ODDR2, según se recomienda. Tanto el receptor como el transmisor funcionan...
1
respuesta

Visualización multiplex de siete segmentos Lo suficientemente rápido como para que quede sólido

He estado tratando de multiplexar una pantalla de siete dígitos de siete segmentos en mi placa FPGA, pero me he estado ejecutando para no obtenerla lo suficientemente rápido para que se vea sólido al ojo humano. Puede ver un ejemplo de la vel...
1
respuesta

HSI y MSI - Aplicaciones de dos OSC RC internos en el microcontrolador

En un microcontrolador ST que estoy usando hay dos OSC que se pueden enrutar exactamente al mismo hardware y me pregunto cuándo usarías cada uno. Enlace a la documentación de la pieza STM32L496ZG Extracto de la hoja de datos sobre...
2
respuestas

¿Importa la velocidad de reloj cuando se recupera del bloqueo I2C del bus?

Cuando hay un bloqueo I2C causado por un dispositivo esclavo que conduce la línea SDA baja, necesita generar manualmente algunas señales de reloj haciendo un simple golpe de bit en el pin SCL. Pensé que esto debería hacerse con la misma frecuenc...