Preguntas con etiqueta 'virtex-series-fpga'

1
respuesta

Tablero Virtex 6 de Xilinx - ISE genera un error [El diseño no contiene instancias]

Recibo el error "el diseño no contiene instancias", pero no puedo descubrir la causa del error a pesar de buscar en Google y probar las soluciones sugeridas en los foros. A continuación se muestra un resumen del informe para el diseño, ¿alguna i...
2
respuestas

Virtex 6 ethernet

He intentado implementar el diseño de ejemplo que se incluye con "Virtex 6 Embedded Tri-mode Ethernet MAC wrapper v2.3" en el generador Core, en la placa de desarrollo virtex 6 (ML605) Cuando lo programo en la placa, los paquetes fueron recibido...
0
respuestas

¿Cuál es la mejor práctica de diseño para ver múltiples relojes que se generan a partir de un solo PLL dentro de un FPGA?

Supongamos que tenemos dos relojes de 100 mhz y 200 mhz, ambos generados a partir de un PLL dentro de un FPGA. Si son vistos como dos dominios de reloj independientes, entonces todo debería funcionar bien en el diseño, pero habrá una mayor compl...
1
respuesta

¿cómo interpretar el informe RTL después de la síntesis en Xilinx?

Hice el código verilog de un circuito. Estaba simulando bien y dando salida correcta después de la simulación. Ahora hice síntesis, el esquema RTL después de la síntesis que muestra un cuadro verde y rojo. ¿Está indicando algún tipo de error? Po...
1
respuesta

Configuración de un FPGA en la instalación

Estoy trabajando en un proyecto utilizando un FPGA Virtex-5. Los pequeños proyectos en los que he trabajado con los FPGA solo me han requerido programar los FPGA en placas de desarrollo utilizando JTAG o cargando el archivo de bits en el FPGA. S...
1
respuesta

¿Hay compatibilidad con la lista XC5VLX110 en la configuración del Proyecto ISE?

Sólo estoy tratando de configurar ISE envirmonent. Pero no hay XC5VLX110 en la lista de dispositivos en la configuración del proyecto en ISE como se muestra en la siguiente imagen. ¿Qué debo hacer para resolver en esta situación?     
2
respuestas

La síntesis de Xilinx ISE lleva demasiado tiempo

Acabo de terminar de escribir un diseño bastante completo en ISE 14.7 dirigido a un dispositivo Virtex7. La simulación de comportamiento (en Isim) toma un tiempo pero funciona perfectamente. Por lo tanto, intenté pasar al siguiente paso agreg...
1
respuesta

¿Por qué hay dos o más IP duras de PCIe en algunos FPGA?

Estaba buscando FPGA con IP duras PCIe. Y encontré algunos FPGA con más de un IP duro. ¿Cuál es la ventaja de tener más de una IP dura en un solo FPGA?     
1
respuesta

¿Cómo encontrar la velocidad de reloj que mi fpga ejecuta en XPS o EDK?

Creé una ip personalizada y la agregué a mi diseño. Quiero saber la velocidad del reloj. ¿Hay algún menú / opción para descubrir en la plataforma Xillinx studio o EDK?     
0
respuestas

Consulta sobre el monitor del sistema para Xilinx FPGA

Estoy atascado en un problema relacionado con el monitor del sistema en FPGA. ¿Cómo simulo una entrada analógica para un FPGA? El SysMon es como un ADC que convierte entradas analógicas a digitales. ¿Puedo encontrar una muestra para generar e...