Preguntas con etiqueta 'virtex-series-fpga'

1
respuesta

¿Cómo llenar rápidamente toda la memoria DDR con las herramientas Xilinx?

Tengo una placa con una memoria DDR3 y un Virtex 7 FPGA. He utilizado Xilinx MIG para crear un controlador de memoria y puedo leer / escribir con éxito en la memoria usando registros de Microblaze. Me gustaría llenar todo el módulo de memoria DD...
1
respuesta

Relación de aspecto óptima para almacenar datos en RAM de bloque

Estoy trabajando en Xilinx virtex 4 FPGA. Quiero almacenar algunos coeficientes de filtro en RAM de bloque. Específicamente, tengo muchos conjuntos de filtros, cada conjunto tiene un coeficiente de 64, cada coeficiente es de 18 bits. Cada conju...
2
respuestas

¿Por qué un SRL basado en LUT6 solo tiene 32 entradas pero no 64?

Los FPGA de Xilinx son capaces de usar LUT como elementos de memoria. Se puede utilizar como ROM, RAM y Shift Register (SRL). Los nuevos dispositivos Xilinx utilizan LUT de 6 entradas, que proporcionan 64x1 bits para RAM / ROM, pero solo 32 b...
5
respuestas

Interfaz de memoria de alta velocidad entre 2 FPGA (Virtex 6)

Tengo una placa con 2 FPGA Virtex 6, que están conectadas entre sí a través de 64 líneas IO paralelas que pueden funcionar hasta 400 MHz. Un FPGA, llamémoslo B, también tiene 2 GB de memoria DDR3 conectados. También necesito acceso de alta veloc...
2
respuestas

Complejidad de tiempo para la implementación de correlación en FPGA

Digamos que tenemos una base de datos de cinco mil señales discretas de 512 puntos . Cada entrada de base de datos es única en sí misma. El punto importante a tener en cuenta sobre las señales en la base de datos es que de los 512 puntos, más...
1
respuesta

¿Por qué Xilinx Translate for Virtex-6 no sabe IOSTANDARD LVDS?

Estoy usando varios FPGAs Xilinx y tableros desde Spartan3E hasta KC705 / VC707 y estoy muy familiarizado con los archivos UCF, pero hay una pregunta que me molesta ... ¿Por qué traducir para Virtex-6 no se conoce IOSTANDARD LVDS, pero sí pa...
1
respuesta

¿Cómo verificar el resultado después de la implementación de FPGA?

Tengo 10 números guardados en la memoria RAM. Lo ordené usando el código Verilog y guardé la salida en otra RAM. Hice la simulación y estaba haciendo la clasificación correcta. Lo sinteticé y generé el archivo de bits. Ahora quiero ver si funcio...
1
respuesta

¿Cómo manejar los LED externos utilizando FPGA Digilent Genesys (Virtex-5)? ¿Cómo utilizar la presión o la entrada del sensor IR?

¿Cómo puedo conducir un FPGA con Digilent Genesys X LEDS con una placa de pruebas y un socket 752 DIP? Si el LED de rango es cero + 445 y la impedancia es 74, ¿cuál es el rango del flash? Esto es para reconfigurar los bloques CON CLB Verilog y n...
1
respuesta

¿Por qué la primitiva SATA ALIGN se cambia o cambia en la salida RXDATA del transceptor GTXE2 de la serie 7?

Estoy usando un transceptor GTXE2 serie 7 de Xilinx configurado como SATA host PHY. Este transceptor está interactuando con un controlador SATA Host y un dispositivo SATA Gen1. Durante la inicialización, puedo ver COMRESET, COMINIT y COMWAKE...
1
respuesta

Crea una instancia y uso del núcleo ppc440 en Virtex 5 FPGA

Soy nuevo en Virtex 5, que tiene un núcleo PPC440 incorporado (XC5VFX70T). Estoy utilizando la placa Xilinx ML507 para mi diseño. Creé el núcleo integrado utilizando XPS y lo instalé en mi proyecto HDL. Como periféricos, estoy usando un contr...