Preguntas con etiqueta 'verification'

1
respuesta

¿Qué significa esta oración en esta pregunta (el simulador puede manejar X entradas)?

En mi libro, una pregunta me obliga a encontrar la secuencia de verificación para un circuito. Por lo que entiendo, la secuencia de verificación debe ser tal que cada camino sea recorrido. Luego, el libro menciona específicamente que para reduci...
2
respuestas

¿Cómo puede un fabricante verificar el diseño de un circuito? [cerrado]

¿Alguien podría decirme qué medios ( aparte de invertir ) disponibles para el fabricante de circuitos integrados (las últimas CPU de Intel para PC) que les permitirían verificar que el diseño real en el troquel es exactamente el mismo y ¿Idéntic...
1
respuesta

Verificación de una compilación de Meier Crossfeed

He creado un "Crossfeed Enhanced Bass" desde un kit , que Creo que se basa en el diseño Crossfeed de Meier. Primero hice un prototipo en una placa de pruebas, olvidé conectar a tierra el conector de salida porque no estaba explícitamen...
0
respuestas

¿Cómo verificar que una lista de materiales completa cumpla con un rango temporal?

Estoy verificando una placa, que tiene unos 300 componentes, y me gustaría verificar si todos los componentes cumplen con el rango de temperatura de -25 ° a 70 °. es una tarea que consume mucho tiempo. Intenté importar la lista de material...
0
respuestas

¿Cómo hago un ajuste previo y verifico la interfaz del receptor?

En el diseño e implementación de un receptor de RF, me gustaría construirlo y verificarlo etapa por etapa, con especial atención a la adaptación de impedancia, la figura de ruido y la ganancia. Si tuviera acceso a un laboratorio profesional d...
0
respuestas

Necesita ayuda para verificar este diseño que transmite la señal de luz a través de amplificadores operacionales y amplificadores diferenciales

Diseñé un sistema donde un detector de fotos detecta una señal de luz y la transmite a través de un PCB a un microprocesador. El fotodetector tenía una resistencia interna de 1 KΩ y, como máximo, podía generar una corriente débil de 100 µ...
1
respuesta

El modelado de fallas se atasca en el circuito combinacional

Un circuito tiene n entradas yn salidas. Se implementa solo con puertas AND, OR y NOT. Además, no hay ramas en abanico. ¿Cuál es el número de fallas s-a que quedan después de colapsar la falla? s-a significa atascado en la falla     
1
respuesta

Verificación ASIC de un FIFO con "n" elementos únicos

simular este circuito : esquema creado usando CircuitLab Tengo un FIFO síncrono. La profundidad de la FIFO es 32. Cada vez que la FIFO tiene 7 o "n" elementos únicos en su interior, la señal "única" se pone ALTA. ¿Cómo pruebo la señal "...
0
respuestas

Valorar el testvector verilog adaptable para bloque analógico

Tengo un circuito analógico que estoy tratando de probarlo con el bloque Verilog, de manera que los vectores de prueba de los bloques Verilog se apliquen a una velocidad adaptativa al circuito analógico, en lugar de aplicarlos a un reloj fijo....
1
respuesta

Ejecución del ejemplo de UVM en MODELSIM - ALTERA 10.1d

Quiero compilar y simular este sencillo ejemplo de UVM usando la herramienta Modelsim-Altera 10.1d. module uvm_first_ex; import uvm_pkg::*; 'include "uvm_macros.svh" initial begin 'uvm_info("ID","WELCOME TO UVM",UVM_MEDIUM); end end...