Preguntas con etiqueta 'verification'

6
respuestas

¿Cuál es la diferencia entre las pruebas y la verificación?

Todos los libros de texto que he visto explican en gran medida el hecho de que test y verification son dos diferentes conceptos Sin embargo, ninguno de ellos proporciona una distinción clara (o lo suficientemente clara para mí, por fin). P...
3
respuestas

pruebas de EMC frente a pruebas de EMI

¿Cuál es la diferencia entre las pruebas de compatibilidad electromagnética (compatibilidad electromagnética) y las pruebas de interferencia electromagnética (interferencia electromagnética)     
3
respuestas

Probar paradigmas para productos electrónicos de consumo [cerrado]

Soy nuevo en EE / ECE (mi experiencia es en software) y tengo curiosidad acerca de cómo se realizan las pruebas electrónicas en el mundo real. En el software, hay muchos tipos diferentes de pruebas por las que se debe ejecutar un código para...
3
respuestas

Escribiendo bancos de prueba sintetizables

Estoy empezando a aprender SystemVerilog y trabajar con FPGA, y hasta ahora no he encontrado una manera satisfactoria de probar mi código. Vengo de un fondo de software, y siempre he estado escribiendo pruebas automatizadas exhaustivas para mi c...
2
respuestas

Estándares de verificación, verificación y certificación de circuitos para dispositivos biomédicos

Tenga en cuenta: habría publicado esta pregunta en HealthIT.SE pero aparentemente ahora están cerrados para negocios, y creo que este sitio es el siguiente lugar más apropiado para preguntar esto. Creo que esta pregunta es sobre el tema po...
1
respuesta

¿Cómo convertir un circuito secuencial en un circuito combinacional?

Mi pregunta en general pregunta cómo transformar un circuito secuencial / FSM en un circuito combinacional. La razón por la que pregunto es en la resolución de SAT, podemos usar solo circuitos combinacionales. Y así, para usar el circuito sec...
1
respuesta

¿Cómo hace exactamente SystemC / SystemVerilog que la verificación sea menos laboriosa?

Hoy en día, SystemC o SystemVerilog se usan para la verificación de diseños complejos, especialmente para cosas como los diseños SoC que son realmente complejos. Sé que estos idiomas incorporan las técnicas de diseño OOP al dominio de diseño d...
2
respuestas

¿Cómo probar un controlador de CPU a bordo?

El watchdog de un ATMEL ATXMega128 debería haberse habilitado con fusibles. Activa un restablecimiento, si el temporizador no se restableció dentro del intervalo de tiempo configurado. Quiero estar seguro de que está habilitado y que funciona...
1
respuesta

Modelsim - Problema de verificación extraño con DDR y Xilinx UNISIM

Estoy haciendo la verificación del componente VHDL usando OVM y encontré problemas serios. He encontrado que el problema está en un componente específico y un entorno creado específicamente para él. Es un convertidor de interfaz de RGMII a inter...
2
respuestas

¿De qué uso real y práctico es la certificación electrónica?

Soy nuevo en EE / ECE y soy consciente de que compañías como UL ofrecen una gama de servicios como: Verificación del circuito (verifican que su esquema haga lo que se supone que debe hacer y no tiene ningún olor a diseño) Pruebas de circ...