Preguntas con etiqueta 'verification'

0
respuestas

¿Cómo puede un diseño de IC (o transistores o diodos) dificultar que un fabricante malintencionado lo subvierta sin ser detectado? [cerrado]

Introduction Este es un giro de mi pregunta en security.se . Para dar más contexto:    Si tengo un modelo de amenaza donde       el adversario       quiere corromper la computación o robar información    no quiere que se le note en...
1
respuesta

¿Existe una forma “estándar” de verificar el HDL de una máquina de estado?

Las máquinas de estado son un patrón que se usa muy a menudo para escribir diseños sincrónicos. Sirven como los controladores en el diseño. Entonces, ¿hay una manera estándar de verificarlos si se escriben usando VHDL? ¿O es mejor usar alguna GU...
1
respuesta

¿El nivel de prueba de nivel de sistema es diferente del nivel de prueba de nivel de bloque? ¿Y qué es un vector de prueba?

Por lo general veo estos términos. En el campo del diseño de IC digital o en la verificación de diseño, ¿es diferente el caso de prueba del nivel del sistema del de prueba de nivel de bloque? Quiero decir, sé que difieren del nivel de abstracció...
2
respuestas

¿A qué se refieren los términos cobertura de código y cobertura funcional cuando se trata de verificación de diseño digital?

Parece que verificar un diseño es una tarea más compleja que el diseño en sí mismo y demora mucho más en realizarse. Incluso es posible que tengamos que crear el banco de pruebas muy al banco de pruebas original que prueba el DUT. De todos mo...
1
respuesta

Sistema Verilog Coverpoint Bin para todo lo demás que se cuenta para los cálculos de cobertura

La configuración de un contenedor a predeterminado toma todo lo demás en el punto de cobertura, pero el contenedor predeterminado se ignora en los cálculos de cobertura. ¿Hay algo similar al valor predeterminado que puedo usar para la sintaxis q...
1
respuesta

¿Cuáles son las tareas típicas de un ingeniero de verificación de diseño? [cerrado]

Estoy bastante confundido sobre cuáles deberían ser las tareas típicas de un ingeniero de verificación de diseño en ASIC Design. En mi experiencia, estas son las cosas que hago: Crear plan de verificación Crear plan de arquitectura de banc...
1
respuesta

Cálculo de potencia de los circuitos

Por favor, siga leyendo ... esto parece largo pero es sencillo y fácil de ingresar He hecho muchos proyectos simples basados en microcontroladores pero no he considerado los cálculos de potencia y de corriente requerida (para que el circuito...
2
respuestas

¿Cómo verifica su esquema antes de pasar al diseño [cerrado]

Estoy trabajando con Altium. ¿Qué verificación hace particularmente cuando pasa del esquema al diseño en términos de electrónica y de captura?     
1
respuesta

Error al cargar el diseño. Referencia no resuelta

¡Por favor ayuda! DUT: AND gate module ANDgate(a, b, c); input a; input b; output c; assign c = a & b; endmodule TESTBENCH: sin tarea 'include "simple_task.v" module task_calling(); reg tb_a; reg tb_b; wire tb_c; ANDga...
1
respuesta

Specman UVM: ¿Cuál es la diferencia entre write_reg {.field == 2;}; y write_reg_fields?

Estoy trabajando con vr_ad package para e. Mi pregunta es: ¿Cuál es la diferencia entre 2 macros siguientes para modificar registros (suponga que el registro foo consiste en 2 campos field1 y field2 ): 1) write_re...