Preguntas con etiqueta 'verification'

1
respuesta

¿dónde debería crear una instancia del objeto DUT? ¿En el archivo testbench o en la tarea?

Estoy haciendo un banco de pruebas en Verilog donde llamará diferentes casos de prueba de diferentes módulos, cada módulo, un caso de prueba / tarea. Soy un principiante en la realización de testbench, ¿puedo saber dónde debo crear una instancia...
2
respuestas

El equivalente de Thevenin (verificación de respuesta)

\ $ R_ {TH} = R1 + R2 // R5 + R3 // R4 \ $ Luego, considerando la fuente actual y la resistencia R3 como un circuito Norton, se puede convertir a Thevenin de la siguiente manera \ $ V_I = -IR3 \ $. Luego tenemos las fuentes de voltaje e...
1
respuesta

¿Qué significan los siguientes términos para los ingenieros de verificación?

Cuando se trata de la verificación de circuitos digitales, ¿cuál es la diferencia entre el significado de los siguientes términos: ¿Cuál es la diferencia entre especificación y requisito ? ¿Cuál es la diferencia entre feature y funct...
1
respuesta

¿Qué es la "verificación formal" del hardware?

Leí que las pruebas y la verificación son diferentes, pero ¿de qué manera? Leí que alguien escribe teoría para probar que el hardware es "correcto" pero, ¿cómo se hace eso? Intenté leer Wikipedia y buscar en Google, pero terminé en una investiga...
2
respuestas

Una pregunta general sobre la verificación desde cero

No soy un gran experto en la verificación de hardware, quiero decir que sé cuál es el propósito de la verificación (básicamente, verificar si el sistema se espera que haga) y he usado algunas herramientas para la verificación, normalmente diseño...
1
respuesta

¿El equipo de diseño del fabricante puede verificar los archivos legibles por máquina para circuitos integrados?

Mi pregunta es simple si toma los archivos de diseño de chips (archivos de impresión azul para el proceso de fabricación) que son utilizados por el proceso de fabricación en el que los ingenieros de diseño han completado los archivos que contien...
2
respuestas

Cómo escribir testbench para circuitos digitales que emiten señales de video / audio

Por lo que he visto, un banco de pruebas proporcionará algún estímulo a un DUT y la salida se comparará con los valores esperados. Esta es una forma de verificar. Sin embargo, muchos circuitos digitales pueden producir salidas más complejas,...
1
respuesta

Si hay restricciones en SystemVerilog

Tengo una clase de elemento de secuencia con variables rand: class my_seq_item extends uvm_sequence_item; rand bit a, b, c, d; Quiero generar un bit aleatorio para 'd' solo si 'b' y 'c' son 0. ¿Cómo escribo una restricción para esto?     
1
respuesta

Verificación de esta realización de CMOS

Tengo que hacer que el CMOS sea equivalente a esta función: \ $ A '* B' + C '\ $. Hice este circuito CMOS, pero no estoy seguro de si es correcto: simular este circuito : esquema creado usando CircuitLab El curso que estoy siguiendo...
1
respuesta

¿Cómo medir la diferencia de tiempo entre 2 cambios de señal en verilog?

Hay dos señales sig, habilitar , y quería encontrar la diferencia de tiempo después de lo cual habilitar alterna después de que caiga la firma. (> Sig Low to Enable < time) Entiendo que siempre el bloque @ () no se puede anidar dentro...