Preguntas con etiqueta 'timing'

1
respuesta

Implementando el EPP de Digilent

Estoy intentando implementar la interfaz paralela de Digilent desde su SDK . Puede leer específicamente la interfaz en este manual . Estoy usando la placa Basys 2 250k. Estoy tratando de averiguar qué estoy haciendo mal, lo que creo que...
1
respuesta

STM32 SPI Esclavo enviar datos Problema

Estoy trabajando en un proyecto con el microcontrolador STM32F411. Se establece en el modo esclavo SPI y recibe datos sin ningún problema. Cuando quiero enviar datos a través del periférico spi, a veces funciona, pero la mayoría de las veces, lo...
1
respuesta

Mi diseño no cumple con el tiempo. ¿Que puedo hacer?

Estoy usando el software Altera Quartus II para compilar Verilog para un FPGA Cyclone IV. En mi caso, el FPGA es fijo; No puedo conseguir uno más rápido. Ahora, un módulo aislado en mi diseño, que trata con relojes relativamente rápidos, no e...
1
respuesta

sumador canalizado multoperando

para poder explicar cómo se podría implementar un sumador de múltiples operadores segmentado, mi libro muestra la imagen que se muestra a continuación. La idea es utilizar tres sumadores con tubería de 4 etapas. Sin embargo, traté de hacer un si...
1
respuesta

Configuración y tiempo de espera VS temperatura (y la inversión de temperatura)

Así que sé que la demora y el tiempo de propagación dentro de un ASIC se ven afectados por la temperatura. En los viejos tiempos las cosas iban más rápido cuando más frío y más lento cuando más caliente. Ahora, a 90 nm y por debajo, hay una inve...
3
respuestas

Reinicie la frambuesa pi con un relé

Voy a usar mi Rasp como rastreo de GPS y debería estar funcionando incluso si el auto está apagado; sin embargo, no quiero agotar la batería del automóvil. Para que esto ocurra, agregué un controlador de batería ( enlace ) que recibe energía...
1
respuesta

Pautas de enrutamiento / espaciado de DDR4

¿Dónde puedo encontrar la fuente de las directrices de enrutamiento para DDR4? Estoy hablando de cosas como la sincronización DQ a DQS, la diferencia de longitud máxima en ps para la dirección y el comando y tal vez las longitudes de ejecución p...
1
respuesta

Optimizando el código de Verilog

Estoy tratando de convertir el número Hex en la representación ASCII decimal en Verilog, he hecho el siguiente código que se convierte con éxito, pero esto costó mucho tiempo para mi diseño, ¿podría alguien ayudarme con alguna sugerencia para op...
0
respuestas

¿Cómo restringir correctamente phy de ethernet?

Estoy tratando de usar el ethernet PHY en mi Nexys4-DDR. El manual para el phy da las siguientes restricciones de tiempo para los puertos RMII. Me estoy confundiendo en cuanto a cuáles son exactamente las restricciones para esto en mi a...
2
respuestas

Sincronización del circuito de un circuito después de presionar un interruptor de botón

En primer lugar, gracias por leer todo esto, eres la parte de internet que me da esperanza para la humanidad. Estoy buscando un circuito que creo que es relativamente sencillo, pero tiene algunos matices importantes. El objetivo final es ence...