Preguntas con etiqueta 'simulation'

2
respuestas

Aumente la ganancia de baja frecuencia de un amplificador de cascode

He estado diseñando un generador de ruido (por diversión) con el objetivo de obtener una respuesta de frecuencia suave entre 10Hz y 10MHz. Como no tengo ningún amplificador operacional "elegante", decidí utilizar un diseño discreto que eventualm...
1
respuesta

simulación de LTspice con varios transistores en un solo paquete

Aquí es el transistor que se usa para la simulación del circuito LTspice. Pero viene como paquete con PNP y NPN. Aquí está el enlace de ¿Cómo puedo usar un solo transistor solo para simulación?     
3
respuestas

Probando un controlador PID, necesita la ecuación para PV

Estoy escribiendo un controlador PID básico para los fines de una simulación completamente en computadora, sin hardware para ejecutarlo. Yo solo el PID. ¿Cómo puedo probarlo en algún entorno físico simulado? He visto algunos ejemplos en este cu...
1
respuesta

Aislamiento diferente al cambiar el tipo de calibración del puerto

He diseñado un acoplador direccional en ADS, y al simularlo en Momentum, me di cuenta de que, dependiendo del tipo de calibración de puerto (verificada en Calibración TML y Calibración Ninguna), el aislamiento del acoplador cambia. ¿Por qué suce...
1
respuesta

Resultados básicos de simulación de circuito N-MOSFET

Estoy confundido acerca de algunas características del circuito N-MOSFET muy básico que se muestra a continuación: Loquetambiéncreéenunsimuladordecircuitosenlíneapuedeencontrar aquí . El problema es el valor VDS, que el simulador informa...
2
respuestas

Aplicar la regla de Cramer para averiguar la función de transferencia del circuito

Mi circuito es Tengounconjuntodeecuacionesdelaforma Ahora solo necesito una expresión para la función de transferencia. i, e relación de voltaje entre RL y voltaje de la fuente de alimentación de CA (en términos de elementos de circuito...
1
respuesta

¿Un ejemplo resuelto en la potencia del transmisor?

Tenemos un archivo de 1 MB que en 1 segundo se movió desde el canal de 1 MHz con una atenuación de 60 dB. Si la densidad de potencia a ruido es de -174 dBm / Hz, la potencia del transmisor es igual a 1 microwatt.    Mi pregunta es ¿cómo se ca...
1
respuesta

Simulador VHDL sumador completo, pregunta de tiempo

Digamos que tengo este diseño, por ejemplo: library ieee; use ieee.std_logic_1164.all; entity adder is port(x : in std_logic_vector(3 downto 0); y : in std_logic_vector(3 downto 0); z : out std_logic_vector(4 downto 0)); end entity...
1
respuesta

Hay presencia de ruido (Alta frecuencia) en la simulación (post-síntesis y post-implementación)

Estoy usando verilog en la herramienta de diseño VIVADO (XILINX), en simulación (post-síntesis y post-implementación) de mi diseño. Estoy recibiendo un noise (< em> Alta frecuencia (incluso mayor que el reloj real de la placa) cerca de ambo...
1
respuesta

Desambiguación del análisis de CA y análisis de pequeña señal

Estoy intentando entender el Análisis de pequeña señal (SSA) para transistores de diodos y BJT a través de diferentes libros de texto, pero tengo algunos problemas. ¿El análisis de CA es lo mismo que el SSA? He visto sugerencias que implican...