Preguntas con etiqueta 'simulation'

2
respuestas

¿Por qué mi opamp no gana correctamente? (esquema adjunto)

Estoytratandodetomarunaseñaldeentradaquecambiaráentre1y5HzyamplificarásololaporcióndeCAdelaseñal(0.02Vpk-pk,~3voltiosdecompensación).Todoelcircuitotienequefuncionarcon3.3vDCdealimentaciónúnica.Estoypasandolaseñaldeentradaatravésdeunfiltrodepasoa...
2
respuestas

Problemas al simular Colpitts en Multisim

He estado tratando de hacer que un oscilador Colpitts funcione con multisim, siguiendo este esquema, que encontré en un libro (simplemente lo copié): Sinembargo,nofunciona,todoloquereciboesunaseñaldevoltaje0delosciloscopio.Elmismocircuito,sim...
1
respuesta

Simulando una operación de lectura / escritura por FPGA en EEPROM

Tengo que escribir algunos datos en un SPI EEPROM por el FPGA. FPGA actuará como maestro, y EEPROM actuará como esclavo. El problema es que no tengo placa FPGA a partir de ahora, estaré simulando estas operaciones de lectura y escritura. Soy...
1
respuesta

Pantalla virtual para el desarrollo de GPU simple

   Relacionado: ¿Dónde comenzar cuando se considera hacer una GPU? Soy un programador bastante fuerte, pero soy muy nuevo en el diseño de hardware, así que pido disculpas si utilizo una terminología extraña. Mi objetivo a largo plazo es...
2
respuestas

Cómo mejorar el comportamiento de recorte de formularios desagradables en la etapa de entrada diferencial

El amplificador en el siguiente esquema, cuando se simula, muestra que puede amplificar limpiamente una señal con una amplitud de 0.1V a 10V. Sin embargo, cuando se maneja con una señal más grande, el comportamiento es muy desagradable en el sem...
1
respuesta

¿Cómo puedo saber si la biblioteca ya se ha compilado en ModelSim / QuestaSim para acelerar los scripts de simulación?

¿Cómo puedo saber si la biblioteca ya se ha compilado en ModelSim / QuestaSim para acelerar los scripts de simulación? Tengo algunos archivos que contienen varios comandos vlog para compilar varias bibliotecas y un comando vsim para iniciar l...
2
respuestas

Asignaciones con después y señales

Estoy tratando de entender cómo funcionan las asignaciones con 'after foo', así que leí sobre el modelo de demora y simulé el siguiente código: library IEEE; use ieee.std_logic_1164.all; entity test is port ( goes_in, goes_out :...
1
respuesta

Modelo IBIS alternativo para el receptor

¿Qué hace cuando desea simular una señal (es decir, con Hyperlynx) pero no puede encontrar el modelo IBIS del chip receptor? ¿Qué parámetros necesitas? ¿Dónde puedes encontrarlos?     
1
respuesta

simulación de línea de comando usando pspice

Me gustaría usar "psp_cmd" de OrCAD Pspice para hacer tres simulaciones usando solo un archivo .cir. El problema es que la ejecución de psp_cmd mycircuit.cir crea solo un archivo de datos llamado mycircuit.dat que contiene...
1
respuesta

Serialización de 4 canales a 1

Siga el documento en este enlace . Tengo una señal NRZ de 10 Gbps generada que se debe enviar a un MUX 4: 1. El sistema contiene tres componentes: el chip de enlace en serie consta de tres macros individuales: transmisor, receptor y una inte...