Preguntas con etiqueta 'programmable-logic'

1
respuesta

controlador CAN en un CPLD

Como no consigo encontrarlo en Internet, me pregunto si es posible programar un controlador CAN en un CPLD. Parece que va a requerir al menos un FPGA.     
3
respuestas

¿Es este un buen uso de un CPLD?

Estoy intentando generar algunas formas de onda que se desplazan de fase desde una señal de entrada. La señal de entrada es de alrededor de 4.4 MHz y es una onda cuadrada con un 50% de servicio. Necesito un cambio de fase de 0 grados y 90 gra...
1
respuesta

Generador / simulador de CPU personalizado

Busqué en Google profundamente pero no pude encontrar ningún simulador de constructor de CPU . Espero específicamente aprender sobre el funcionamiento del puente norte, pero cuando busqué en Google el "simulador de puente" o "puente (el juego d...
1
respuesta

¿Cuál fue el protocolo para programar dispositivos GAL?

¿Qué tiene que pasar realmente, a nivel de pines y señales, para programar un dispositivo GAL? Digamos que tengo un GAL 22V10 y un archivo .JED con el patrón de fusible deseado. La forma habitual de proceder es colocar el chip en un programador...
1
respuesta

¿Es estándar que un VSD extraiga su energía del PLC de control? ¿Es esta la mejor solución?

Tenemos un piso industrial que se abre y se cierra mediante un motor de accionamiento de velocidad variable. Esto es controlado por un dispositivo PLC. Recientemente tuvimos que hacer un mantenimiento en el VSD. El técnico de mantenimiento diagn...
2
respuestas

Arduino a CPLD para encender un LED usando I2C

Tengo un CPLD (Lattice MachXO2) que emite una señal de un Arduino para encender un LED. Arduino: //send out .1s pulse on output pin 2 when a 'q' is recieved void loop () { USBinByte = Serial.read(); if (USBinByte == 'q') { digital...
2
respuestas

¿Cuántos transistores / puertas lógicas se utilizan en la ruta de la señal entre un estudio de televisión y la restitución de la imagen en mi HD-TV?

¿Cuántos transistores / puertas lógicas se utilizan en la ruta de la señal entre un estudio de televisión y la restitución de la imagen en mi HD-TV? ¿Ves lo que quiero decir? Necesito una estimación aproximada ... :-) Especialmente quiero...
3
respuestas

Conflicto de bus: Pin de salida que impulsa a otro Pin de salida

Me he encontrado con un problema inusual. Comenzaré describiendo mi objetivo: estoy diseñando un circuito que puede realizar pruebas de cortocircuitos y circuitos abiertos en un arnés de cableado. El arnés de cableado no tiene un bus CAN ni nada...
2
respuestas

¿Cómo se convierte un número entero como un tiempo en VHDL?

Con el propósito de simplificar un banco de pruebas, me gustaría establecer varios retrasos cambiando los valores numéricos en la parte superior del archivo. Me gustaría hacer algo como: input_frequency : integer := 1000000; ... constant t_per...
2
respuestas

División de números en un FPGA

Escribí un programa para un FPGA Cyclone II que divide 2 números de 64 bits y se devuelve si el resto es 0 usando la operación de módulo (%). Cuando compilé el programa con números de 64 bits para el divisor y el dividendo, usó casi todas las...