Preguntas con etiqueta 'simulation'

1
respuesta

Oscilador de puente de Viena LTSPICE

Estoy buscando un buen oscilador para implementar en un circuito. Estaba intentando simular un oscilador Wien Bridge en LTSPICE pero no tengo éxito ya que mi salida es siempre 0 V. ¿Hay algún parámetro especial de simulación que deba usar? Aquí...
2
respuestas

función de transferencia de un rectificador de diodo de puente completo

Me gustaría encontrar la función de transferencia de dominio s de un rectificador de diodo de puente completo con filtro de salida capacitiva. Sorprendentemente, no parece haber mucha literatura sobre esto. Analíticamente, no estoy seguro de...
4
respuestas

Salidas incorrectas en la entidad VHDL

Tengo una lección sobre VHDL en una de mis clases universitarias y tengo que escribir entity simple que generará reloj desde una fuente de 1MHz. Estoy usando CoolRunner-II CPLD Starter Kit con ISE Webpack 13.1. Cuando ejecuto la simu...
1
respuesta

¿Cómo guardo una forma de onda de ModelSim para su posterior apertura / visualización?

Si guardo la forma de onda, se guarda como un archivo .do en ModelSim / QuestaSim. Esto no ayuda, ya que más tarde tendré que ejecutar la simulación para recuperar la ola de todos modos. Lo que estoy buscando es un medio por el cual toda la o...
3
respuestas

KCL vs KVL en el análisis de circuitos

Estoy haciendo una versión simplificada de SPICE para enseñar electrónica básica a estudiantes de secundaria (Esto es para un estudio independiente de secundaria). ¿Por qué SPICE analiza los circuitos utilizando la ley actual de Kirchhoff en...
3
respuestas

¿Qué facilidades / métodos existen para depurar y simular programas para microcontroladores PIC?

En la universidad, aunque hice un poco de programación y proyecto PIC, el proyecto fue bastante simple. Siempre podríamos poner un LED parpadeante como un signo de vida en nuestro programa o hacer que el código se escriba en el puerto serie de v...
1
respuesta

¿Cuál es la forma estándar de detener un banco de pruebas VHDL después de un cierto período de tiempo?

En Verilog puedo usar la función $ finish para detener la simulación, pero mi búsqueda de la opción similar en VHDL ha fallado hasta ahora. La solución más cercana que encontré en línea es usar este código en mi banco de pruebas VHDL: stop_...
3
respuestas

entendiendo la integridad de la señal de la línea de transmisión a través de simulaciones

Necesito simular cómo se reflejan las señales en función de la fuente, la carga y las impedancias de línea y cómo la integridad de la señal se ve afectada por el espaciado entre diferentes trazas en una placa, trazas en el mismo nivel así como d...
3
respuestas

IGBT como un interruptor

He buscado en la red sobre este problema pero no he encontrado respuestas. En su propia experiencia o pensamiento, ¿es posible usar igbt como un interruptor? En caso afirmativo, proporcione un esquema como ejemplo. EDITAR: Esto es lo qu...
2
respuestas

Creando un componente de circuito integrado en Altium

Soy nuevo en el diseño de PCB y soy nuevo en Altium. Quiero hacer un diseño de PCB esquemático y simular un circuito de RF que incluya un receptor de GPS IC MAX2769 . La biblioteca de Altium no tiene este IC. Puedo crear el componente en la bi...