Preguntas con etiqueta 'simulation'

1
respuesta

¿Existe una solución para convertir esquemas impresos en listas de redes de especias?

Me gustaría poder escanear diagramas de circuitos en un simulador de circuitos. Aunque soy consciente de que los símbolos no están completamente estandarizados, ¿hay algún software que haga una aproximación de esto?     
4
respuestas

¿Solucionador de fórmulas de circuito analógico modular?

He estado pensando en hacer una base de datos / programa para facilitar la creación de circuitos analógicos. Quería asegurarme de que no haya nada más como esto con lo que pueda contribuir en lugar de hacer el esfuerzo de crear algo desde cero....
3
respuestas

software para obtener ecuaciones diferenciales para la simulación de circuitos

¿Alguno del software común de simulación de circuitos proporciona las ecuaciones del sistema? Derivar un sistema de ecuaciones diferenciales de primer orden para un circuito a mano es una especie de dolor. Y sí, sé que los simuladores realmente...
7
respuestas

¿Puedes simular un esquema?

¿Hay algún software por ahí que tome un esquema como entrada y simule su funcionamiento? No tengo mucho dinero en efectivo para componentes y herramientas, por lo que esta sería una forma barata y fácil de aprender más sobre electrónica.    ...
3
respuestas

¿Qué microcontrolador tiene un simulador compatible con Linux?

Estoy buscando un buen microcontrolador para aprender electrónica. EDITAR Quiero desarrollar en Linux, y me gustaría que hubiera un buen simulador compatible con Linux. Quiero usar lenguaje C.     
1
respuesta

¿Por qué no oscila mi oscilador de relajación opamp?

He diseñado un oscilador de relajación con un opamp. Se supone que oscila a 50Hz, pero no lo hace. No he construido un circuito físico, estoy tratando de simularlo en CircuitLab. Calculé la frecuencia de oscilación con los valores de los elem...
3
respuestas

Simulación de línea de transmisión (física)

Necesito poder simular las comunicaciones con un dispositivo sensor a través de un cable de gran longitud (0-10 km). Esto es para comunicaciones de baja velocidad (10khz máx, generalmente 1-2kz). Esto sería FSK ... pero en algún momento es posib...
1
respuesta

¿Por qué este patrón VHDL simple para un registro de desplazamiento no funciona como se espera?

A primera vista, esperaría que el código fuente de VHDL a continuación se comporte como un registro de desplazamiento. En eso q, con el tiempo estaría "UUUU0", "UUU00", "UU000", "U0000", "00000", .... pero en su lugar, siempre es U d...
4
respuestas

¿Cuál es la mejor manera de trazar el "estrechamiento" de un circuito de potenciómetro?

El problema es diseñar controles de ganancia / volumen / pan / balance / crossfade / mix con una "ley" o "taper" suave; la velocidad a la que cambia el volumen audible a medida que los gira. (Descrito en The Secret Life of Pots y Cambiando la...
1
respuesta

LTspice: ¿Cómo puedo mostrar múltiples sondas con formas de onda usando parámetros de pasos en diferentes colores?

Estoy usando LTspice IV (4.23I) y estoy usando el comando .step para variar la capacitancia de un condensador, de modo que pueda ver múltiples formas de onda para una sola sonda. Si solo hay una sonda una , entonces los valores pa...