Preguntas con etiqueta 'simulation'

1
respuesta

Solucionadores de ecuaciones no lineales en simuladores SPICE

Tenemos una asignación en la clase de procesamiento Paralelo, el objetivo es implementar un Solucionador de ecuaciones no lineales en cuda basado en método de Newton Raphson e interconecta este solucionador con una aplicación que trata con u...
3
respuestas

El transistor NPN tiene una “fuga” en la simulación. ¿Qué pasa con mi circuito?

Soy un poco nuevo en electrónica, y estoy ansioso por aprender a trabajar con transistores; Especialmente en la realización de puertas lógicas con ellos. Intenté construir un circuito muy básico con un transistor como mi "interruptor", encendien...
1
respuesta

¿Relación entre el ciclo delta y la programación de eventos en la simulación de verilog?

Entiendo que en los estándares de Verilog / SystemVerilog hay diferentes regiones para la programación de eventos, por lo que imita el comportamiento del hardware concurrente. Pero, ¿cómo se relaciona esto con los ciclos delta que veo en los sim...
1
respuesta

simulador ARM STM32 como proteous y algún buen libro para empezar.

Quiero ensuciarme la mano con la programación ARM. Tengo la experiencia de programación 8051 / PIC16F / PIC18F. Busqué sobre ARM y encontré la serie STM32 barata. Pero antes de comprar, quiero ponerme al día con este tipo de simulador similar al...
2
respuestas

"Subcircuito desconocido" en LTSpiceXVII

Estoy intentando simular un circuito que está diseñado para tomar una entrada de 5V / GND y hacer rieles +/- 2.5V divididos con una tierra virtual. Cuando intento simular, LTSpice se queja de "subcircuito desconocido" como se muestra en la ca...
2
respuestas

Cómo simular un programa VHDL sin FPGA

Quiero aprender VHDL, y me pregunto si puedo encontrar alguna herramienta que me permita simular un programa VHDL sin tener un FPGA. Hago una búsqueda en internet y encontré esta lista de simuladores de HDL, pero todavía no. saber cómo impleme...
2
respuestas

Modelo LED simple para simulación de circuitos

Soy nuevo en electrónica y estoy aprendiendo lo básico para un pequeño proyecto para el hogar que involucra LED, fibra óptica y microcontroladores. Me gustaría simular el circuito que planeo construir para comprender mejor las diferentes caíd...
1
respuesta

Discrepancia entre el análisis de tiempo estático posterior al lugar y la ruta y los resultados de simulación ISIM

Descripción general Estoy implementando una CPU simple estilo Harvard usando Xilinx ISE versión 14.1. Estoy usando configuraciones compatibles con una placa Digilent Nexys3, pero por el momento todo el proyecto se realiza solo en simulación....
2
respuestas

Simulación de puerto paralelo pero sin puerto paralelo

He estado trabajando en un proyecto en mi facultad que requiere que use un puerto paralelo, pero como veo, mi computadora portátil no tiene uno. He estado buscando una manera de simular el puerto paralelo en mi computadora portátil, como cuan...
1
respuesta

Simulación de asignaciones de bloqueo en siempre @ bloques

Al rastrear algunos resultados de simulación extraños, descubrí que el uso de las tareas de bloqueo en los bordes del reloj era el culpable. Más específicamente, diferentes simuladores parecen tratarlos de manera diferente. Toma el siguiente...