Cómo simular un programa VHDL sin FPGA

5

Quiero aprender VHDL, y me pregunto si puedo encontrar alguna herramienta que me permita simular un programa VHDL sin tener un FPGA. Hago una búsqueda en internet y encontré esta lista de simuladores de HDL, pero todavía no. saber cómo implementar un programa VHDL en una simulación.

    
pregunta joe billy

2 respuestas

4

Uno de los simuladores más comúnmente utilizados (que yo sepa) es ModelSim , y por lo tanto es una buena opción cuando estás comenzando. Si instala la edición web gratuita de Quartus, también se instalará ModelSim (y ModelSim también viene con ISE WebPack IIRC).

Lo que se quiere hacer se conoce como testbench y consiste en escribir un código de estímulo que se crea en las señales de entrada para el diseño bajo prueba (DUT) y también puede verificar las salidas. Por lo tanto, normalmente desea al menos dos archivos: el diseño que está probando y el banco de pruebas para ese diseño.

Hay muchos recursos en línea que te ayudarán a escribir un banco de pruebas básico. Aquí es un punto de partida razonable, pero Querrá hacer un montón de búsquedas en línea; Hay mucho que aprender.

    
respondido por el uint128_t
0

Modelsim es una excelente opción para simular códigos HDL. Sin embargo, no garantiza que su diseño sea sintetizable. La síntesis del diseño depende de un hardware objetivo. La mejor manera de aprender la programación VHDL para crear hardware del mundo real es codificar en Xilinx ISE y luego probar y simular su código en ModelSim.

    
respondido por el user45498

Lea otras preguntas en las etiquetas