Preguntas con etiqueta 'ram'

1
respuesta

¿hay alguna diferencia entre la señal de referencia a los planos VCC o GND?

En mi primer diseño de PCB de alta velocidad, tengo una RAM LPDDR3 y mi PCB tiene 6 capas con la siguiente secuencia: 1-señal 2-GND_Plane 3-señal 4-Signal 5-VCC_Plane 6-Señal la región entre el SOC y la RAM está totalmente c...
1
respuesta

Vdd flotante; NV Pokemon salva [cerrado]

enlace En el enlace, hay un hilo que discute la sustitución de los IC de sram de carro Pokémon de 1 y 2 Pokémon con equivalentes de marco. Las únicas diferencias entre los IC antiguos y los nuevos (además de la arquitectura) es el voltaje d...
3
respuestas

SRAM vs DRAM contra cambios de un solo evento

SRAM básicamente almacena un bit en un flip-flop hecho de unos pocos transistores, mientras que DRAM almacena un bit en un capacitor accionado por un solo transistor. ¿Esto significa que es menos probable que la SRAM se enfrente a problemas d...
1
respuesta

Relación de aspecto óptima para almacenar datos en RAM de bloque

Estoy trabajando en Xilinx virtex 4 FPGA. Quiero almacenar algunos coeficientes de filtro en RAM de bloque. Específicamente, tengo muchos conjuntos de filtros, cada conjunto tiene un coeficiente de 64, cada coeficiente es de 18 bits. Cada conju...
1
respuesta

Configuración de los datos antes de la subida: ¿cuál es la mejor práctica?

Soy un novato, jugando con una RAM de bloque de puerto único muy simple (en un FPGA en realidad). Su tiempo de 'lectura' es estándar - i) Una dirección en la entrada de RAM se bloquea en un primer flanco ascendente del reloj (llama a este...
1
respuesta

DRAM: ¿es importante el orden de los pines de datos cuando se enruta en PCB?

Pregunta rápida: Tengo SDRAM (SDR) y trato de conectarlo a uC. ¿Puedo enrutar bits de datos de SDRAM a uC en cualquier orden? ¿Entonces no están conectados respectivamente (d0- > d0, d1- > d2 ... d15- > d15) pero en cualquier orden (...
1
respuesta

¿Cómo compilo RAM / CPU con circuitos lógicos? [cerrado]

Quiero construir una pequeña computadora de 4 bits, fuera de los circuitos lógicos. Quiero RAM y una CPU y todas esas cosas buenas. Mi problema principal es, ¿debería trabajar en RAM o CPU, cuál me "enseñaría" más para poder construir el otro y...
1
respuesta

Descripción de la ROM / RAM en MCU de BRAZO

El ARM SAM3X8E de 32 bits tiene 512 KB de ROM y 96 KB de RAM en la placa. Esto significa que si le muestro un binario que es, digamos, 400KB de tamaño, y que consume, digamos, 80KB RAM (máx.) En tiempo de ejecución, entonces ese binario "encaj...
3
respuestas

¿Qué está mal con este intento de una memoria SDR RAM en Verilog?

Tengo un Spartan-6 FPGA conectado a la interfaz de memoria AEMIF en una SoC Da365 de DM365 que controlo. El AEMIF está configurado en el modo Seleccionar luz estroboscópica. Estoy tratando de implementar la lectura / escritura de memoria en el F...
2
respuestas

DDR2-SDRAM Métodos de terminación: pasivo vs. activo

para un proyecto que estoy diseñando Actualmente estoy usando una simple terminación de resistencia paralela en las trazas DDR2. Pero me pregunto, ¿cuál es la ventaja de usar un regulador de voltaje con terminación en serie? ¿BOM menor / cos...