Preguntas con etiqueta 'ram'

2
respuestas

Arquitecturas para la adquisición de datos de alto rendimiento con sistemas integrados

Objetivo: adquirir un flujo de datos generado por ADC de alto rendimiento (1 Msample / s @ 16bits) en un procesador System-on-Chip. Propósito: visualización de datos en tiempo real y algunos procesamientos (menores) en tiempo real ¿Cuáles son...
1
respuesta

¿Leer RAM de forma externa en un sistema en ejecución interceptando el bus de memoria o reemplazando los chips de RAM?

Me pregunto si es posible modificar un sistema electrónico de consumo (TV, teléfono, dispositivo integrado, etc.) para que sea posible leer y posiblemente escribir el contenido de sus chips de RAM mientras el sistema está en ejecución, sin agreg...
1
respuesta

Controlando 23K256 SPI RAM con Arduino

Estoy tratando de interactuar con un 23K256 SPI RAM IC utilizando un Arduino Mega 2560 . No puedo usar los pines SPI estándar, ya que estoy usando un escudo de Ethernet y no funciona bien cuando el SS está alto. Entonces, en cambio, estoy t...
1
respuesta

Ebedded Linux: RAM recuperable, con respaldo de batería (/ tmp) [cerrado]

Espero que este sea un lugar apropiado para esta pregunta, ya que implica el uso del núcleo. Tengo un sistema integrado con una batería de respaldo para el chip RAM. La batería también permite que la MCU se apague correctamente. Los datos...
3
respuestas

Usando SRAM asíncrono en una CPU casera

Estoy construyendo una CPU casera y ahora he llegado al punto de diseñar la parte SRAM. Planeo usar una memoria RAM estática simple de 32 K x 8 bits (como la CY62256N de Chipre). Mi preocupación es que la parte es asíncrona pero quiero que actúe...
1
respuesta

Beneficios de RAM vs FIFO en FPGA

Si quiero procesar los datos en el orden en que llegan, parece que lo más apropiado sería un FIFO; sin embargo, quiero revisar los datos varias veces (al menos 20 veces), por lo que estoy considerando volver a escribir en el FIFO cuando leo o us...
1
respuesta

Promedio de tiempo para dos cachés, cpu y ram

Tengo una CPU, con dos cachés, L1 y L2, con tiempo de acceso T1 y T2 respectivamente. y El tiempo para acceder a la memoria RAM es Tm. La proporción de aciertos para L1 es a, y la proporción de aciertos para L2 es b. ¿El tipo de tiempo...
1
respuesta

modelado de memoria RAM en Verilog

Estoy tratando de modelar una memoria RAM de 0.125GB en Verilog usando ModelSim de 512 bit de ancho usando chips de memoria de 32 bit de ancho. Así que he creado un    32 * \ $ \ 2 ^ {18} \ $ matriz de memoria cuyo código es el siguiente:...
2
respuestas

usando la creación de instancias verilog de ram

He implementado el módulo ram Verilog. Hice una instanciación y leí los datos de alguna dirección. Después de eso, quiero escribir los datos en otra dirección. miradas que no necesito hacer dos veces la creación de instancias del ram, ya que cad...
1
respuesta

¿Cómo funciona un búfer de osciloscopio?

Estoy haciendo un simple osciloscopio de 1MHz con un sistema embebbed basado en ARM y Android. Tengo un ADC con una velocidad de 12MSa / s que toma las muestras. Lo necesito para enviar las muestras a un microcontrolador PIC, para enviarlas por...