Preguntas con etiqueta 'latch'

1
respuesta

PRESET y CLEAR en un Flip Flop D

Estoy tratando de entender la forma en que PRESET y CLEAR funcionan en un borde positivo provocado por el flip flop D, pero es posible que me falte algo que espero que alguien pueda aclarar, por favor. La Figura 1 a continuación muestra el fl...
1
respuesta

VHDL - Quartus II infering pestillos en el circuito

Mi circuito está basado en una máquina de estado. Lo verifiqué y está funcionando bien, el único problema es que está inferiendo un pestillo por flip-flop (la máquina de estados tiene 11 estados y el circuito está muy activo por lo que tiene 11...
1
respuesta

Buscando IC de DAC paralelo de alta velocidad sin bloqueo de datos

He diseñado un DDS basado en FPGA que crea señales digitales entre 0-70MHz . Ahora quiero convertir mis datos digitales en analógicos. Como la frecuencia base es 200MHz , necesito un DAC paralelo > de alto rendimiento con un mínimo de 200MSP...
1
respuesta

Circuito digital con interruptor de palanca usando Logisim

Se supone que debo diseñar un pequeño circuito digital (con Logisim) utilizando compuertas lógicas básicas, de modo que cuando este circuito se usa con el interruptor elegido (que debe ser un botón), hará que el circuito funcione como un interru...
2
respuestas

¿Qué hace que estos simples diagramas de circuitos sean diferentes?

Estoy algo confundido con los cambios de comportamiento de estas 3 diferencias de un flip flop. Hice una imagen para mostrar fácilmente lo que estoy preguntando. Circulé las diferencias en rojo .. Aquí está la imagen: Para 3.) Creo que...
1
respuesta

¿Se debe usar un diodo de marcha libre (flyback) en la bobina de un relé de bloqueo de 2 bobinas?

En una aplicación automotriz de 12 VCC, quiero usar un par de relés DPDT de dos bobinas, como el Panasonic TXS2-L2-12V ( enlace ), y conecte las bobinas de configuración en paralelo y las bobinas de restauración en paralelo, como se muestra en l...
2
respuestas

Este flip flop no funciona correctamente

Estoy tratando de hacer un "Toggle Latch" o un flip flop. El pestillo S-R funciona correctamente (Las dos puertas NOR). cuando agrego las dos compuertas AND, el led de salida se apaga y no responde a las entradas J y K! Intenté agregar resist...
2
respuestas

Pregunta relacionada con 74HC574 (pregunta muy difícil de formular)

Básicamente, tengo una pregunta con respecto a la sincronización del latch octal 74HC574. De acuerdo con el diagrama de temporización, los pines de datos deben configurarse durante tantos nanosegundos antes de que se presione el pasador de reten...
2
respuestas

¿Por qué este circuito lógico BJT solo funciona de manera intermitente?

En mi tiempo libre, he estado tratando de implementar algunas puertas y circuitos lógicos simples utilizando solo BJT (los BC547 son los que tengo a mi alrededor). El circuito He construido con éxito inversores, puertas NAND y NOR. Sin e...
1
respuesta

¿Los registros tienen un multiplexor?

Estamos aprendiendo sobre los registros de cambio de propósito general. Mi profesor los dibuja con multiplexores similares conectados a las D de cada flip flop. Entonces, en un ejemplo de un registro con una entrada y salida de 4 bits, se ven co...