Preguntas con etiqueta 'latch'

1
respuesta

¿Por qué no funciona mi simple contador VHDL? ¿A dónde fueron mis señales?

Soy un principiante completo con VHDL y casi un principiante con lógica digital y tengo un problema para leer un libro que estoy leyendo. En particular, un ejercicio solicita construir un contador con una habilitación y un interruptor de reinici...
1
respuesta

¿Interruptor mecánico reiniciable?

Estoy buscando un balancín de activación / desactivación mecánico o un interruptor de palanca que pueda restablecerse a un estado y posición predeterminados al momento de desconectar la alimentación. La aplicación está en un vehículo donde qu...
3
respuestas

Aumentar salidas GPIO

Estoy trabajando en un proyecto en el que necesito 32 salidas de una MCU que solo tiene 8 salidas libres. Para lograr mi objetivo, tengo los siguientes requisitos: Debo poder alternar más de una salida al mismo tiempo Los datos deben...
1
respuesta

Representación de símbolos de pestillos

Esta es una representación lógica de un cierre de S-R cerrado: Logical http://www.ibiblio.org/kuphaldt/electricCircuits/Digital/04178.png este es su símbolo: Symbol http://www.ibiblio.org/kuphaldt/electricCircuits/Digital/04180.png...
1
respuesta

¡Una pregunta sobre cómo funcionan los cierres RS y el tiempo que necesita una entrada para mantenerse en alto después de que se haya suministrado!

Tengo un pestillo RS construido de 2 puertas NOR, sin habilitación de entrada. DigamosquealprincipiolasalidaseestableceenQ=1,Q'=0.(pic1)Enunmomentodado,RespulsadoaltoyQsecalculacomo(R+Q')'=(1+0)'=0.(pic2) Así que ahora Q suministra 0 en lu...
3
respuestas

¿Por qué no funciona este único botón de encendido / apagado del botón?

Construí esto aproximadamente cuatro veces, reemplazando los transistores cada vez, midiendo cuidadosamente todas las resistencias y condensadores y < a href="http://youtu.be/I70H5xQ6MT0?t=1m42s"> poniendo un límite de .1uf de la salida a la p...
2
respuestas

SR Latch Understanding

Esta es mi primera publicación aquí, ya que me estoy metiendo en este tema de la ingeniería electrónica. Mi pregunta se refiere al flip-flop o pestillo SR, la versión de la compuerta NOR. He estado leyendo una copia de enlace . El circuito q...
2
respuestas

El proceso VHDL requiere múltiples ciclos de reloj

Escribí un contador simple en VHDL para un contador de programa. Todo se hace en un proceso, pero lo que no entiendo es que en la simulación, la adición del contador del programa solo se realiza en el siguiente evento de reloj, en lugar de hacer...
1
respuesta

Diseñar un pestillo sin fuente de alimentación constante

Estoy intentando diseñar un pestillo para una aplicación de recolección de energía con un sistema de muy bajo consumo. Básicamente, tengo una configuración con una salida regulada de 3.3 V que maneja un circuito, que funciona con un condensador...
3
respuestas

¿Cualquier IC similar a ULN2003A con bloqueo o habilitar / deshabilitar?

Estoy trabajando en un proyecto que utiliza un ULN2003A (matriz de transistores Darlington) y Necesito habilitarlo / deshabilitarlo. El punto es que ULN2003A no tiene un pin de habilitación / inhabilitación. Creo que un IC con pestillo tamb...