¿Cómo agregar la biblioteca Xilinx a Modelsim?

2

Estoy intentando simular un diseño de ejemplo de un IP Core, pero la versión de ModelSim que he instalado (Altera Edition / Linux) no está vinculada a la biblioteca Xilinx. ¿Cómo puedo agregar de forma permanente o temporal la biblioteca Xilinx a ModelSim?

EDIT :

Unos cuantos detalles más. Estoy tratando de simular un diseño de ejemplo para Ethernet1000Base-X IPCore. La documentación está aquí: enlace

Una página 18, describe cómo simular el diseño utilizando IES, ModelSim o VCS. Recibo el siguiente error en ModelSim después de ejecutar este comando: vsim -do simulate_mti.do

# ** Error: (vsim-3033) ../../../Ethernet1000BaseX.v(9359): Instantiation of 'LUT6' failed. The design unit was not found.
#         Region: /demo_tb/dut/core_wrapper/gig_eth_pcs_pma_core
#         Searched libraries:
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "unisims_ver".
# No such file or directory. (errno = ENOENT)
#             /home/saddam/Desktop/Ethernet1000BaseX.3/ipcore_dir/Ethernet1000BaseX/simulation/functional/work
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "unisims_ver".
# No such file or directory. (errno = ENOENT)
# ** Error: (vsim-3033) ../../../Ethernet1000BaseX.v(9365): Instantiation of 'MUXF7' failed. The design unit was not found.
#         Region: /demo_tb/dut/core_wrapper/gig_eth_pcs_pma_core
#         Searched libraries:
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "unisims_ver".
# No such file or directory. (errno = ENOENT)
#             /home/saddam/Desktop/Ethernet1000BaseX.3/ipcore_dir/Ethernet1000BaseX/simulation/functional/work
# ** Error: (vsim-19) Failed to access library 'unisims_ver' at "unisims_ver".
# No such file or directory. (errno = ENOENT)
** Error: (vsim-19) Failed to access library 'unisims_ver' at "unisims_ver".
# No such file or directory. (errno = ENOENT)

EDIT 2

Software: Modelsim Altera Starter Edition 10.0d Webpack Xilinx ISE 14.1

    
pregunta sj755

3 respuestas

1
  1. compile las bibliotecas UNISIM con runnin compxlib y siguiendo el asistente.
  2. luego, en su modelsim, el panel de la biblioteca agrega una nueva biblioteca.
  3. despuésdeeso,agreguelabibliotecadelabibliotecaexistenteyapuntealacarpetaquecontienecompiladoversióndeunisim,p.ej.esC:\Xilinx.1\ISE\vhdl\mti_se\unisimparami
    Después de eso, puede divertirse con UNISIM :)
respondido por el VSB
4

En primer lugar, sería bueno saber qué versión de Modelsim / ISE está utilizando. En general, las bibliotecas de simulación de Xilinx tienen que ser compiladas. Sólo ISim ha binarios precompilados.

La compilación se puede realizar con la herramienta de línea de comandos compxlib que se suministra con ISE. El uso se describe en Guía de usuario de las herramientas de línea de comandos (v14.4) : el enlace apunta a la versión más actual de este archivo. El Capítulo 25 (p.321-335) cubre todas las opciones para esta herramienta. Las opciones más importantes son:

  • -s establece que el simulador de destino debe ser mti_{se|pe|de}
  • -arch para la arquitectura FPGA de Xilinx, por ejemplo, %código%
  • spartan6 para especificar el idioma, por lo que debe usar -l
  • verilog para configurar el directorio de salida de las bibliotecas compiladas (si tiene permisos de escritura en el directorio ISE de Xilinx, puede omitir esto y todos los archivos se colocarán en el directorio ISE según p.325)
  • -dir se usa para establecer la ruta a su simulador. No es necesario si el simulador está en la variable ambiental -p .

He probado esto y he compilado satisfactoriamente todas las bibliotecas, incluido $PATH . Como solo trabajo con VHDL, no sé el uso exacto de las bibliotecas con Modelsim. Después de una breve búsqueda, encontré el Manual de usuario de Modelsim que describe el uso de las bibliotecas en las páginas. 277 hasta 283. Tal vez algún desarrollador de Verilog con experiencia pueda explicar esto mejor.

Tampoco sé si la versión de Altera Modelsim se puede usar para simular el hardware de Xilinx, ya que solo trabajo con Modelsim simple.

Esta es mi primera publicación en StackExchange. Espero que mi respuesta pueda ayudarlo y se adhiera a las reglas.

    
respondido por el Thomas S.
3

Xilinx generalmente suministra versiones precompiladas de sus bibliotecas Unisims para Modelsim, junto con instrucciones de instalación. Las páginas de "asistencia" del sitio web de Xilinx deberían tener más información específica de sus versiones de Modelsim e ISE (cualquiera que sea la versión)

Lamentablemente, no puedo proporcionarle ayuda más específica: los nombres de las bibliotecas que menciona sugieren que está utilizando Verilog con el que no tengo experiencia.

    
respondido por el Brian Drummond

Lea otras preguntas en las etiquetas