Preguntas con etiqueta 'simulation'

1
respuesta

Error de simulación y caída de voltaje de salida del circuito duplicador de voltaje de CC en Multisim

He simulado el circuito que se proporciona en este enlace: enlace . Pero, cuando simulo el circuito, el voltaje de salida se reduce y aparece un error de simulación después de 0.56 que dice Se ha producido un error de simulación. ¿Le gustaría...
1
respuesta

multiplexor ADG608 actuando realmente extraño en Multisim

Estoy tratando de usar el circuito de abajo para cambiar entre múltiples resistencias usando un multiplexor. Inicialmente tenía diferentes valores de resistencias conectadas a cada canal, pero como me estaba volviendo muy extraño y ENORME !! Com...
3
respuestas

¿Es posible simular el hardware de la arquitectura MIPS definida por computadora con Verilog / VHDL?

Estoy leyendo el libro de Diseño Digital y Arquitectura de Computadoras y, si voy a ser persistente, al final tendré una computadora de arquitectura MIPS, implementada desde cero. Me pregunto si es posible definir de alguna manera diferentes...
1
respuesta

Antena Vivaldi: tamaño y potencia máxima de entrada y simulación de software

Primero, debo decir que soy un principiante en este campo, así que perdóneme si mis preguntas no fueran inteligentes. Estaba leyendo acerca de la antena Vivaldi y decidí hacer un experimento; Voy a utilizar una lámina plana de cinta de cobre,...
2
respuestas

Regulador de voltaje de tiristor con diferente ángulo de disparo para cada período con simulink

En primer lugar, estoy muy bajo con EE, así que, por favor, hazlo con calma. Como parte del hogar, tenemos que diseñar regulador de voltaje de tiristor en enlace simultáneo . Debe funcionar durante 5 periodos con un ángulo de disparo de tiris...
1
respuesta

¿Cómo hacer una simulación para el circuito de transferencia de energía inalámbrica en el software HFSS (simulador estructural de alta frecuencia)?

Quiero simular un circuito de transferencia de energía inalámbrica que consiste en dos bobinas resonantes junto con un bucle con cada bobina. Estoy atascado con la introducción de una bobina en HFSS.     
1
respuesta

¿Cómo encajar el macromodelo MAX941 en LTSpice “opamp2”?

Soy nuevo en agregar modelos a LTSpice. He agregado exitosamente OPA350 de TI, pero con MAX941 de Maxim Integrated Recibo algunos errores debido al modelo LTSpice "opamp2" que no tiene la misma cantidad de pines que el MAX941. No estoy int...
1
respuesta

Problema que simula FSM en Quartus II Simulator

Estoy intentando simular un FSM usando un simulador de vectores ... La variable de máquina de estado se llama "Tstep_Q", la agregué al editor de formas de onda ... sin embargo, cuando comienzo la simulación funcional Todas las señales se muestra...
1
respuesta

Archivo de estímulo ADC con MPLAB SIM (Simulación con MPLAB SIM)

Estoy tratando de simular mi proyecto usando MPLAB SIM pero recibo el siguiente mensaje:    ADC-W0008: No hay un archivo de estímulo adjunto a ADRESL para A / D. ¿Cómo creo un archivo de estímulo ADC? Información que podría ser útil:...
1
respuesta

¿Cómo puedo simular este vhdl usando modelsim?

Soy nuevo en modelar sim. Tengo este vhdl - Codifique su diseño aquí library IEEE; use IEEE.std_logic_1164.all; entity my_and is port(x : in std_logic; y : in std_logic; z : out std_logic); end entity my_and; architecture rtl of my_and is...