Estoy tratando de construir paneles LED de gran tamaño (módulos LED 3x128) con LEDs WS2801, con datos provenientes de un microcontrolador Teensy 3.0. Tengo dificultades para transmitir mis señales de Datos y Reloj entre los diferentes paneles. L...
Estoy planeando controlar un auto RC a través de ΒeagleBoard-xM. El transmisor de pistola utiliza ic codificador TX2 .
Como muestra la imagen, los comandos de derecha-izquierda se implementan en un área conductora de 3 partes. Específicament...
He hecho un sensor que produce una salida de 4 bits. Quiero realizar alguna lógica basada en patrones.
Estaba pensando que si de alguna manera pudiera ingresar esa entrada de 4 bits a mi computadora, podría escribir código para ejecutar la ló...
Para un pulso rectangular que tiene como transformada de Fourier una función sinc como:
¿Cómo puedo estimar su período sinc en el dominio de la frecuencia y calcular el ancho del pulso rectangular en la función de dispersión de puntos?...
Estoy realizando un proyecto en el que quiero iniciar y detener la grabación de los datos del acelerómetro configurados para medir la aceleración vertical del eje Z del movimiento de la suspensión de un automóvil cuando golpea un bache. Quiero p...
He estado aplicando la técnica de estimación de Welch a una señal en MATLAB para obtener un gráfico de densidad espectral para frecuencias de 0 a 0.5 la frecuencia de muestreo. Tengo dos problemas:
Tengo un pico de 0.25 para todas mis señal...
No puedo creer la cantidad de problemas que he tenido al descubrir cómo hacer algo tan simple.
Tengo una configuración de frecuencia variable, ciclo de trabajo variable 555 en modo astable. Estoy usando un conjunto de ollas para obtener un am...
Se suponía que debía encontrar la representación del espacio de estado y sus matrices de este sistema:
y no tengo idea, cómo hacer esto. Nos dijeron que no transfiriéramos el sistema al dominio de tiempo, pero solo puedo hacer una represe...
architecture behavioral of test is
signal lfsr_state : std_logic_vector (31 downto 0);
begin
LFSR_32_1 : entity work.setState port map(clk, lfsr_state);
process (clk)
begin
if (rising_edge(clk)) then
if((unsigned(lfsr_state)) <...
Básicamente tengo un motor con un imán girando en el extremo. Tengo un sensor que produce una señal de CA cuando el imán giratorio se coloca junto a él. Produce una tensión de ± 300mV. Quiero medir la frecuencia de las señales para poder calcula...