Preguntas con etiqueta 'optimization'

1
respuesta

División actual y entrega de potencia a las resistencias

Estoy intentando que la resistencia en este circuito consuma la mayor cantidad de energía posible cambiando su resistencia. Parece que 100 ohmios es lo correcto, un poco menos o más y su consumo de energía disminuye de acuerdo con las simulacion...
1
respuesta

Resumen de diseño de Xilinx

Mi proyecto está terminado y Xilinx proporciona muchas estadísticas en el resumen, como: ¿Cómo evaluaré estos valores, qué puedo decir sobre ellos? ¿Qué significa qué? ¿Es este informe bueno o malo? ¿Con qué puedo comparar mis resultados?...
1
respuesta

PICAXE temporizador de intervalo muy corto (para reproducir sonido)

Tengo un PICAXE (08M2) que estoy usando para reproducir sonido, usando el algoritmo Roman Roman mencionado en la respuesta a esta pregunta . Mi pregunta, entonces, es la siguiente: ¿Cómo puedo hacer que el PICAXE espere el intervalo de tiempo a...
1
respuesta

Herramienta para la minimización de FSM

¿Puede alguien sugerirme una buena herramienta (posiblemente de código abierto) capaz de tomar un autómata de estado finito (determinista o no determinista) y calcular el autómata óptimo correspondiente? Sería fantástico si la herramienta pud...
1
respuesta

Medición del divisor de voltaje de optimización

¿Cómo diseñar un divisor de voltaje para optimizar el error de medición? Intuitivamente tomaría la misma proporción $$ \ frac {load} {divider} = \ frac {divider} {measure} $$ De la siguiente manera: la tensión se divide aproximadamente entr...
0
respuestas

¿Cuánto más hardware para el SMO de Keerthi en comparación con el SMO de Platts?

No estoy seguro si este es el foro correcto para hacer esta pregunta. Por favor déjame saber si no lo es. Sería genial si alguien pudiera señalar si hay algún foro donde pueda ser aceptado. Esta pregunta se refiere al documento de Platt: enl...
0
respuestas

Partición del espacio de estados en el espacio euclidiano bidimensional

Estoy trabajando en la creación de un modelo de espacio de estado con soluciones DC-OPF. Tengo un sistema de 3 buses y, por tanto, un espacio de estado bidimensional. Bajo DC-OPF los estados son ángulos de fase de voltaje. Me gustaría modelar...
1
respuesta

Optimización de la síntesis de Vivado

Actualmente estoy usando Vivado para desarrollar varios diseños de FPGA, y me pregunto si los números de componentes dados durante la Síntesis son óptimos. Quiero decir, ¿hay algunas formas de optimizar la síntesis para, por ejemplo, reducir el...
1
respuesta

¿Hay alguna ventaja en mi optimización de circuito secuencial?

Estoy tratando de crear un juego de serpientes en un Xilinx Artix7 FPGA, y una de las cosas que quiero comprobar es si la serpiente ha chocado consigo misma. Necesito realizar esta comprobación entre las actualizaciones del juego para saber en l...
1
respuesta

¿Cuáles son los efectos de los diferentes parámetros de diseño al construir un motor lineal?

Estoy tratando de construir un motor lineal llamado "sin hierro". Conceptualmente, son bastante simples. Los motores lineales, en general, son como motores rotativos pero "desenrollados". Como yo lo entiendo, la variedad sin hierro está compuest...