Estoy tratando de calcular el CRC-16 en forma hexadecimal usando una semilla de 0x0000. Para su comodidad, aquí está el polinomio para CRC-16
\ $ x ^ {16} + x ^ {15} + x ^ 2 + 1 \ $
Tengo la siguiente secuencia de paquetes para la que...
Estoy haciendo un diseño usando System Generator, y tengo algunas dudas sobre si mi diseño podría realizarse en un FPGA Virtex 4
¿Alguien sabe qué puedo hacer para verificar esto?
Estoy buscando un ADC de 32 canales (resolución de 24 bits) que pueda muestrear simultáneamente todas las señales. Pero solo pude encontrar ADC de 8 canales con los requisitos anteriores.
Entonces, ¿es posible que pueda usar múltiples ADC de...
estoy interconectando un sistema, esta señal de salida del sistema de 200 ns de pulso digital con un tiempo de repetición de cada 3 ms. El voltaje ideal de la señal sería de 0v a 3.3V, pero la señal proveniente del sistema tiene un alto valor de...
Tengo una entidad que tiene un tipo de matriz como se muestra a continuación:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
Entity LCD_Memory is
port (CLK, Reset, WR : IN std_logic;...
Necesito crear muchos bloques BRAM en mi diseño (Altera). Cada uno tiene un contenido de memoria único, determinado a priori mediante un algoritmo.
Antes, estaba configurando un parámetro para que cada celda BRAM leyera desde un archivo .MIF,...
¿Cuáles son las herramientas de software utilizadas en la industria para crear modelos de algoritmos de precisión de bits (algoritmos que se implementarán en FPGA)?
Antes de usar una herramienta como Quartus, probamos nuestro algoritmo en le...
¿La rama RC conectada a la salida, como se muestra, sirve como filtro de paso bajo? ¿No debería utilizarse la salida después de la resistencia de 50 ohmios si se utilizara como filtro?
Tengo dos chips de memoria de 64Kx8 que me he conectado a un FPGA configurado usando Qsys como un solo bloque de 64Kx16. He utilizado un controlador genérico de tres estados como interfaz, con el ancho de la dirección y el ancho de datos estab...
Estoy empezando con verilog y escribí este código aquí para probar si un número es primo. Sé que podría ser mucho más eficiente, pero es solo para la práctica. La forma en que se realiza la prueba de primado es cuando se envía la señal de reinic...