Estoy buscando crear un bloque esquemático a partir de un archivo vhdl en el software Altera'a Quartus.
He estado usando File- > Create / Update- > Create Symbol Files para el archivo actual
El archivo se compila correctamente y recibo el siguiente mensaje:
con las siguientes advertencias:
Warning (10445): VHDL Subtype or Type Declaration warning at fixed_pkg_c.vhdl(1470): subtype or type has null range
Warning (10445): VHDL Subtype or Type Declaration warning at fixed_pkg_c.vhdl(1471): subtype or type has null range
Warning (10445): VHDL Subtype or Type Declaration warning at fixed_pkg_c.vhdl(1472): subtype or type has null range
Lo que espero encontrar es un archivo .bsf en el directorio del proyecto que luego puedo usar para crear un bloque esquemático ... pero no aparece (he buscado en todo el disco duro).
1. ¿Es correcto esperar que se haya creado un archivo .bsf?
2. ¿Qué pasos de depuración / solución de problemas debo seguir?
Quartus II 64-bit v13.0.0
EDITAR:
Aquí está el código:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library floatfixlib;
use floatfixlib.fixed_pkg.all;
entity gate_zero_initiato is
port(
power_on : in std_logic;
E1_A : out std_logic;
E2_A : out std_logic;
E3_A : out std_logic;
E4_A : out std_logic;
E5_A : out std_logic;
E6_A : out std_logic;
E7_A : out std_logic;
E8_A : out std_logic
);
end gate_zero_initiato;
architecture behavior of gate_zero_initiato is
begin
powerup:process(power_on)
begin
if (power_on'event) then
E1_A <= '0';
E2_A <= '0';
E3_A <= '0';
E4_A <= '0';
E5_A <= '0';
E6_A <= '0';
E7_A <= '0';
E8_A <= '0';
end if;
end process powerup;
end behavior;