Cómo especificar la restricción de tiempo para que dos rutas tengan un retraso igual en Vivado

1

Estoy intentando muestrear una señal asíncrona en múltiples dominios de reloj. No me importa demasiado el retardo absoluto desde la fuente de la señal asíncrona hasta los FF de muestreo, pero quiero restringir cada uno de los caminos para que tengan retrasos aproximadamente iguales. ¿Cómo haría esto en Vivado / .xdc?

    
pregunta burnpanck

0 respuestas

Lea otras preguntas en las etiquetas