¿Cómo debo traducir la antigua declaración TIG de UCF a los nuevos archivos Vivado XDC?

1

Tengo un archivo UCF corto con el siguiente contenido:

## Fan Control
## =============================================================================
##  Bank:               15
##      VCCO:           1.8V (VCC1V8_FPGA)
##  Location:           J48, Q1
## -----------------------------------------------------------------------------
NET "FanControl_PWM"    LOC = "BA37";           ## Q1.Gate; external 1k pullup resistor
NET "FanControl_Tacho"  LOC = "BB37";           ## J48.3; voltage limited by D2 (DDZ9678 - 1.8V zener-diode)
NET "FanControl_*"      IOSTANDARD = LVCMOS18;

# Ignore timings on async I/O pins
NET "FanControl_*"      TIG;

Este archivo limita dos pines físicos a dos puertos de nivel superior. Además, el estándar de E / S se establece en CMOS de bajo voltaje de 1,8 V, ya que el banco 15 tiene un origen de 1,8 V.

Para reducir las advertencias en Xilinx ISE (rastreo), especifiqué que todas las rutas de tiempo hacia y desde estos pines deberían ignorarse (tiempo ignorado - > TIG ).

Pregunta :

  • ¿Cómo puedo traducir TIG a la sintaxis XDC de Vivado?

Lo que descubrí hasta ahora:

  • set_false_path es solo para rutas internas y
  • set_disable_timing costuras será muy especial
pregunta Paebbels

1 respuesta

1

set_false_path no es solo para rutas internas .

Puedes usar set_false_path para

El puerto desde el cual todas las rutas deben configurarse como rutas falsas:

set_false_path -from [get_ports ]

set_false_path -to [get_pins {}]
set_false_path -through  [get_pins {}]
set_false_path -from  [get_pins {}]

set_false_path -from [get_cells <>] -to [get_cells <>]

Si desea establecer todas las rutas entre dos dominios de reloj:

set_false_path -from [get_clocks ] -to [get_clocks ]

Como desea configurar rutas falsas desde puertos de E / S, use

set_false_path -from [get_ports FanControl_PWM]
set_false_path -from [get_ports FanControl_Tacho]
    
respondido por el rahdirs

Lea otras preguntas en las etiquetas