¿Cómo cablear un sistema para Nios 2 en Qsys?

2

Me las arreglé para reducir el número de errores pero todavía tengo algunos:

Error: System.nios2: Reset slave sram_0.avalon_slave_0 not connected to instruction_master.
Error: System.nios2: Exception slave sram_0.avalon_slave_0 not connected to instruction_master.
Warning: System.leds: leds.avalon_slave_0 must be connected to an Avalon-MM master
Warning: System.sram: sram.conduit_end must be exported, or connected to a matching conduit.
Warning: System.jtag_uart_0: Interrupt sender jtag_uart_0.irq is not connected to an interrupt receiver

¿Puedes decirme cómo manejar los errores? El fondo es estas preguntas:

enlace

Cómo asignar clock / reset to sram in ¿Quartus?

Cómo actualizar un Quartus ¿Proyecto II de SOPC a QSys?

No puedo sintetizar mi VHDL en Qsys

No está claro por qué recibo este mensaje:

Error: System.nios2: Reset slave sram_0.avalon_slave_0 not connected to instruction_master.

Ya que parece que la conexión está realmente allí.

    

1 respuesta

2

He arreglado el diseño para que funcione en QSYS. Tus mensajes de error:

Error: System.nios2: Reset slave sram_0.avalon_slave_0 not connected to instruction_master.
Error: System.nios2: Exception slave sram_0.avalon_slave_0 not connected to instruction_master.

... se debieron a la pestaña Propiedades del procesador nios2 . Evidentemente, seleccionó la SRAM como la fuente de los vectores de restablecimiento / excepción, luego cambió el nombre del componente de sram_0 a sram . Re-seleccioné el sram con el nuevo nombre y lo borraron. Las advertencias restantes eran problemas de cableado triviales, y se olvidó de exportar la conexión del lado externo de la SRAM.

También tenías el código fuente de SRAM con y sin la corrección de reloj / reinicio de la que hablamos, eliminé el incorrecto y cambié el nombre de QSYS component + tcl para que tenga los nombres de archivo coincidentes.

Finalmente, establezca el nombre del nivel superior para que coincida con la salida generada por Qsys y reemplace los archivos de origen en Quartus para que sean el archivo .qip generado. Tanto el análisis como el instalador se completaron. No tiene ninguna asignación de pines en su archivo .qsf , por lo que el flujo de bits no funcionará en ningún hardware real todavía.

Puede ver el trabajo cuando empujó las confirmaciones en el camino .

Hay una lectura asíncrona de la memoria en el módulo de leds que impide que se infiera una memoria ram de bloque, pero el dispositivo es lo suficientemente grande como para que puedas escapar sin arreglarlo de inmediato (reduciría el uso de recursos, probablemente disminuiría el esfuerzo de ajuste si pudiera inferido).

    
respondido por el shuckc

Lea otras preguntas en las etiquetas