Preguntas con etiqueta 'vga'

2
respuestas

Usando el viejo LCD de la computadora portátil como pantalla VGA externa [cerrado]

Tengo algunas computadoras portátiles viejas con buenos paneles LCD que deseo salvar y usar como pantalla VGA externa en otras computadoras. No soy un experto en electrónica, pero trabajo con computadoras y conozco lo básico. También hice muc...
2
respuestas

Construyendo un circuito VGA

Estoy construyendo un circuito VGA de 8 bits y tengo 3 opciones. Una es usar una red de resistencia simple para convertir lo digital a lo analógico. El segundo es utilizar un amplificador operacional. La tercera forma de hacerlo es usar un dispo...
1
respuesta

generando 40 mhz reloj desde 50 MHz

En VHDL, ¿cómo puedo obtener una frecuencia de reloj de 40 MHz si mi reloj interno es de 50 MHz? Sé cómo dividir la frecuencia por números enteros, pero este caso se está dividiendo por 1.25. Estoy usando esto para VGA, así que creo que es impor...
2
respuestas

16 bit RGB al circuito convertidor vga

¿Alguien puede sugerir un circuito para convertir la señal RGB TTL (3.3V) de 16 bits en una señal VGA? Utilicé una red de resistencias, funciona, pero creo que algunos componentes activos son necesarios para el propósito de la coincidencia de ca...
1
respuesta

Pantalla EGA que se convierte a VGA - temblor de pantalla

Recientemente he intentado realizar la conversión de EGA (probablemente, no se pudo obtener el alcance para verificar) a VGA para reemplazar un monitor CRT de fábrica antiguo a LCD. La pantalla se muestra bien, pero parece sacudirse verticalm...
1
respuesta

simulación Verilog VGA

Soy nuevo en el desarrollo de FPGA y en verilog y actualmente estoy trabajando en un proyecto que involucra el envío de material a la pantalla a través del puerto VGA. Solo tengo acceso a la placa FPGA real cuando estoy en clase, pero también me...
2
respuestas

Cómo generar núcleos IP con acceso a hardware en vivado

Estoy buscando alguna guía sobre cómo crear un IP-Core en Vivado que haga la integración del hardware. Quiero crear un IP-Core que debería actuar como un controlador para el puerto VGA. El problema es cómo crearlo de tal manera que se conecte au...
1
respuesta

BeagleBone Black HDMI al convertidor VGA creando un problema con la pantalla

Hace poco compré un BBB y comencé a configurar el entorno inicial antes de comenzar con algunos desarrollos reales. Como mi monitor tiene una entrada VGA, compré un adaptador convertidor de HDMI a VGA para conectar mi BBB al monitor. Sin embargo...
1
respuesta

niveles VGA, ¿por qué están equivocados?

Leí en todas partes en Internet que los niveles de voltaje en los componentes de color de un cable VGA deberían ir de 0 V (canal oscuro) a 0,7 V (brillo máximo para ese canal). Sin embargo, traté de medir el voltaje que sale de las dos tarjetas...
1
respuesta

interruptor VGA 3 en 1 salida [cerrado]

Mi equipo y yo vamos a participar en una competencia de desarrollo de software en 1 semana. Demostraremos el software en varias computadoras portátiles, pero solo tenemos un proyector. Por lo tanto, debemos poder cambiar rápidamente entre las en...