Recientemente comencé a aprender VHDL y he intentado hacer un transmisor UART simple. Aquí está el código que he encontrado hasta ahora.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity uart_tx is
generic (clk_...
Mi microcontrolador (ATMEGA328) solo tiene un UART. ¿Es posible crear efectivamente, por ejemplo, 8 UART con un registro de desplazamiento de 8 bits (como un SN74HC595)? La teoría sería enviar 1 bit de cada "uart virtual" a la vez a los bits cor...
En una aplicación, necesito comunicar los dos STM8 controller UART con el host. Até los dos pines Tx como uno y dos pines Rx como uno. Y estos están conectados al dispositivo host.
Ahora, desde el host, enviaré un marco que cont...
Estoy usando un cable FTDI y lo conecté con mi mac. Puedo conectarme exitosamente con mi terminal serial en mi mac a través del cable y puedo ingresar texto en mi teclado para ser transmitido al AVR. Cuando se inicie el programa, espero que apar...
Hola, estoy diseñando una aplicación que tiene comunicación de rf a través de los 868 Mhz.
Estoy usando el módulo LE70-868 de Telit que admite la función despertar en la radio. pero he configurado según su hoja de datos pero no funciona.
Actualmente estoy trabajando con ATTiny1634, ejecutando el siguiente código
Después de ejecutar la instrucción break, el programa no sale del bucle while interno al bucle while externo; En cambio, salta a la función principal.
Para probar,...
Tengo la siguiente entidad que controla un LED de 4 dígitos de 7 segmentos:
entity mainLED is
port(
clk, reset: in std_logic;
tx: out std_logic;
start_cmd: in std_logic; -- signal to start
LED0: in std_logic_vector(3 downto 0);
LED...
Somos un grupo de estudio de EV de la Universidad de Tecnología del Sur de China, estamos utilizando un Unitek BamoCar D3-400-400-rs como un controlador de motor EC. Unitek ofrece un software de computadora superior para BAMOCAR, llamado NDrive,...
Actualmente estoy trabajando en un proyecto en el que estoy diseñando una placa de circuito central que contará con tableros de mini plugins. La comunicación entre la placa de circuitos principal y las placas de circuitos de complementos será en...
Recientemente he diseñado un circuito electrónico para leer medidores eléctricos usando un enchufe óptico. Aquí está el circuito y el primer transmisor y receptor que están en la misma placa, en el mismo lado. Distancia: 6,5 mm
No mire lo...