xst error: la señal está conectada a varios controladores

0

Tengo la siguiente entidad que controla un LED de 4 dígitos de 7 segmentos:

entity mainLED is
port(
   clk, reset: in std_logic;
  tx: out std_logic;
  start_cmd: in std_logic;  -- signal to start
  LED0: in std_logic_vector(3 downto 0);
  LED1: in std_logic_vector(3 downto 0);
  LED2: in std_logic_vector(3 downto 0);
  LED3: in std_logic_vector(3 downto 0);
  cmd: in std_logic_vector(4 downto 0);
  bright_param: in std_logic_vector(7 downto 0)
);
end mainLED;

luego tengo instancias para cada comando que deseo enviar a la pantalla

 clsLED_unit: entity work.clsLED(arch)
port map(clk=>clk, reset=>reset,
tx=>tx,
start_cmd=>start_cls,
done=>done_cls);

resetLED_unit: entity work.resetLED(arch)
port map(clk=>clk, reset=>reset,
tx=>tx,
start_cmd=>start_reset,
done=>done_reset);

set_brightnessLED_unit: entity work.set_brightnessLED(arch)
port map(clk=>clk, reset=>reset,
tx=>tx,
start_cmd=>start_bright,
param=>bright_param1,
done=>done_bright);

sendcharLED_unit: entity work.sendcharLED(arch)
port map(clk=>clk, reset=>reset,
tx=>tx,
start_cmd=>start_send_char,
LED0=>LED0a,
LED1=>LED1a,
LED2=>LED2a,
LED3=>LED3a,
done_display=>done_display);

Tengo que pasar la señal tx porque cada comando envía datos a un módulo UART. ¿Hay alguna manera de evitar esto? El problema es que tengo el tx conectado a varios controladores. El código completo está en github.com

    
pregunta SpaceCowboy

0 respuestas

Lea otras preguntas en las etiquetas